our2008 发表于 2015-1-20 11:24:11

Quartus II 12.0分析综合不成功

按照<QuartusII 12.0 安装与使用指南>原贴:http://www.amobbs.com/thread-5507580-1-1.html
一步一步操作。
到最后分析&综合(Analysis & Synthesis)时出现以下出错提示:

Info: *******************************************************************
Info: Running Quartus II 32-bit Analysis & Synthesis
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off LED -c LED
Error (125048): Error reading Quartus II Settings File F:/fpga_002/LED.qsf, line 1
Error (125048): Error reading Quartus II Settings File F:/fpga_002/LED.qsf, line 2
Error (125048): Error reading Quartus II Settings File F:/fpga_002/LED.qsf, line 3
Error (125080): Can't open project -- Quartus II Settings File contains one or more errors
Error: Quartus II 32-bit Analysis & Synthesis was unsuccessful. 4 errors, 0 warnings

<QuartusII 12.0 安装与使用指南>没有说会出现这种问题,请教论坛大牛这是什么原因,怎样解决?

例程代码如下:
/*
* File                  :led
* Description            :
* Author                  : XiaomaGee@Gmail.com
* Copyright            :
*
* History
**--------------------
* Rev                      : 0.00
* Date                     : 05/9/2012
*
* create.
**--------------------
*/
module led(clk,
            led
            );
input clk;
output led;
wire rst_n;
reg led;
reg counter;
always@(posedge clk or negedge rst_n)
if (!rst_n)
         counter <= 0;
else
         counter <= counter + 1;
always@(posedge clk or negedge rst_n)
if (!rst_n)
         led <= 0;
else if (counter == 24 'hffffff)
         led<=~led;
assign rst_n=1;
endmodule
       

kebaojun305 发表于 2015-1-20 11:31:14

module led(clk,
            led
            );
input clk;
output led;
reg led;////////////添加部分
wire rst_n;
reg led;
reg counter;
always@(posedge clk or negedge rst_n)
if (!rst_n)
         counter <= 0;
else
         counter <= counter + 1;
always@(posedge clk or negedge rst_n)
if (!rst_n)
         led <= 0;
else if (counter == 24 'hffffff)
         led<=~led;
assign rst_n=1;
endmodule

our2008 发表于 2015-1-20 11:55:56

kebaojun305 发表于 2015-1-20 11:31
module led(clk,
            led
            );


加了还是不行,感觉不像是例程有问题。

Error (125048): Error reading Quartus II Settings File F:/fpga_002/LED.qsf, line 1
这提示好像是说找不到配置文件。

kebaojun305 发表于 2015-1-20 11:57:23

自己重新建立个工程内容复制进去。 我这里的 quartus 刚被删了 C盘空间不够了。

our2008 发表于 2015-1-20 12:15:58

kebaojun305 发表于 2015-1-20 11:57
自己重新建立个工程内容复制进去。 我这里的 quartus 刚被删了 C盘空间不够了。 ...

从软件安装到工程建立都是新建的。
例程是PDF文档里拷过来的。

kebaojun305 发表于 2015-1-20 14:12:21

本帖最后由 kebaojun305 于 2015-1-20 14:42 编辑

qsf我记的是原理图文件吧。看提示信息是 工程设置文件错误。

7802848 发表于 2015-1-20 14:36:29

rst哪里来的 不说这个设计怎么样 第一眼就看出来了 rst没有由来
页: [1]
查看完整版本: Quartus II 12.0分析综合不成功