搜索
bottom↓
回复: 6

Quartus II 12.0分析综合不成功

[复制链接]

出50入10汤圆

发表于 2015-1-20 11:24:11 | 显示全部楼层 |阅读模式
按照<QuartusII 12.0 安装与使用指南>  原贴:http://www.amobbs.com/thread-5507580-1-1.html
一步一步操作。
到最后分析&综合(Analysis & Synthesis)时出现以下出错提示:

Info: *******************************************************************
Info: Running Quartus II 32-bit Analysis & Synthesis
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off LED -c LED
Error (125048): Error reading Quartus II Settings File F:/fpga_002/LED.qsf, line 1
Error (125048): Error reading Quartus II Settings File F:/fpga_002/LED.qsf, line 2
Error (125048): Error reading Quartus II Settings File F:/fpga_002/LED.qsf, line 3
Error (125080): Can't open project -- Quartus II Settings File contains one or more errors
Error: Quartus II 32-bit Analysis & Synthesis was unsuccessful. 4 errors, 0 warnings

<QuartusII 12.0 安装与使用指南>没有说会出现这种问题,请教论坛大牛这是什么原因,怎样解决?

例程代码如下:
/*
  * File                    :led
  * Description            :  
  * Author                  : XiaomaGee@Gmail.com
  * Copyright              :
  *
  * History
  **--------------------
  * Rev                      : 0.00
  * Date                     : 05/9/2012
  *
  * create.
  **--------------------
  */
module led(clk,
            led
            );
input clk;
output led;
wire rst_n;
reg led;
reg[23 : 0] counter;
always@(posedge clk or negedge rst_n)
if (!rst_n)
         counter <= 0;
else
         counter <= counter + 1;
always@(posedge clk or negedge rst_n)
if (!rst_n)
         led <= 0;
else if (counter == 24 'hffffff)
         led<=~led;
assign rst_n=1;
endmodule
       

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入8汤圆

发表于 2015-1-20 11:31:14 | 显示全部楼层
module led(clk,
            led
            );
input clk;
output led;
reg led;////////////添加部分  
wire rst_n;
reg led;
reg[23 : 0] counter;
always@(posedge clk or negedge rst_n)
if (!rst_n)
         counter <= 0;
else
         counter <= counter + 1;
always@(posedge clk or negedge rst_n)
if (!rst_n)
         led <= 0;
else if (counter == 24 'hffffff)
         led<=~led;
assign rst_n=1;
endmodule

出50入10汤圆

 楼主| 发表于 2015-1-20 11:55:56 | 显示全部楼层
kebaojun305 发表于 2015-1-20 11:31
module led(clk,
            led
            );

加了还是不行,感觉不像是例程有问题。

Error (125048): Error reading Quartus II Settings File F:/fpga_002/LED.qsf, line 1
这提示好像是说找不到配置文件。

出0入8汤圆

发表于 2015-1-20 11:57:23 | 显示全部楼层
自己重新建立个工程  内容复制进去。 我这里的 quartus 刚被删了 C盘空间不够了。

出50入10汤圆

 楼主| 发表于 2015-1-20 12:15:58 | 显示全部楼层
kebaojun305 发表于 2015-1-20 11:57
自己重新建立个工程  内容复制进去。 我这里的 quartus 刚被删了 C盘空间不够了。 ...

从软件安装到工程建立都是新建的。
例程是PDF文档里拷过来的。

出0入8汤圆

发表于 2015-1-20 14:12:21 | 显示全部楼层
本帖最后由 kebaojun305 于 2015-1-20 14:42 编辑

qsf  我记的是原理图文件吧。  看提示信息是 工程设置文件错误。

出0入0汤圆

发表于 2015-1-20 14:36:29 | 显示全部楼层
rst哪里来的 不说这个设计怎么样 第一眼就看出来了 rst没有由来
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-26 23:25

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表