zcy0517 发表于 2014-4-11 10:10:41

sdram数据读出vga显示问题求解

本帖最后由 zcy0517 于 2014-4-11 14:51 编辑

我做的是摄像头采集,sdram存储,vga输出。目前我使用摄像头的帧同步头来清零写入地址,用vga的帧同步头来清零读写入地址,且写偶数页时,读奇数页。我只对sdram输入0x001的数据(我把摄像头输出数据给改成单色的0x001,帧行同步保留),但读出来的数据有很多杂质,如0x088等。我怀疑读取到了很多没有赋值的区域。该怎么控制会解决这个问题?
(最后用这个程序初步调出来了稳定的0x001数据,放在这边大家共享,楼下还有一些列问题,望大家指教)
module fifo_Ctrl(addr,dpm,cs,
                                                rst,clk150,valid2,key,
                                                clk_cmos,vsync1,vsync2,clk100,
                                                Raddr,Waddr);
///basic
output cs;
output dpm;
assign cs=1'b1;
assign dpm=0;
///out
input rst;
input clk150;//in
input clk100;
input valid2;
input key; //key==0,wr;key==1,rd;

///in
input clk_cmos;
input vsync1;
input vsync2;
output addr;
///try
output Raddr;
output Waddr;




reg flag;


reg Raddr;
reg Waddr;
reg Endaddr;
reg addr;

reg vsync1_buff1;
reg vsync1_buff2;
wire vsync1_req;
always @(posedge clk_cmos or negedge rst)
begin
vsync1_buff1<=vsync1;
vsync1_buff2<=vsync1_buff1;
end
assign vsync1_req = vsync1_buff1 & ~vsync1_buff2; //上升沿为1

reg vsync2_buff1;
reg vsync2_buff2;
wire vsync2_req;
always @(posedge clk100 or negedge rst)
begin
vsync2_buff1<=vsync2;
vsync2_buff2<=vsync2_buff1;
end
assign vsync2_req = vsync2_buff1 & ~vsync2_buff2; //上升沿为1


always @(posedge clk150 or negedge rst )
begin
if(!rst) begin flag<=0;Raddr<=0;Waddr<=0; end
else if(vsync1_req)
                begin
                {Endaddr,Endaddr}<={Raddr,Raddr};
                {Raddr,Raddr}<=0;
                {Waddr,Waddr}<=0;
           if(flag==0) begin {Raddr,Raddr}<=2'b10; {Waddr,Waddr}<=2'b00; flag<=~flag; end
           else if(flag==1) begin {Raddr,Raddr}<=2'b00; {Waddr,Waddr}<=2'b10;flag<=~flag; end
           end
                elseif(key==1)//read
                                begin
                                if(Raddr<8'hff) Raddr<=Raddr+1'b1;
                           else if(Raddr==8'hff) begin
                                                                                                        Raddr<=0;
                                                                                                   Raddr<=Raddr+1'b1;                                                                                
                                                                                                        end
                                end
                               
                                else if({Waddr,Waddr}=={Endaddr,Endaddr}) //write
                                          {Waddr,Waddr}<=0;
                                          else if(Waddr<8'hff) Waddr<=Waddr+1'b1;
                                                        else if(Waddr==8'hff) begin
                                                                                                                                Waddr<=0;
                                                                                                                                Waddr<=Waddr+1'b1;
                                                                                                                                end
end               
                               
                               
       
always @(posedge clk150 or negedge rst)
if(!rst) addr<=0;
else if(key==1) addr<=Raddr;
else if(key==0) addr<=Waddr;

endmodule








zcy0517 发表于 2014-4-11 10:55:45

本帖最后由 zcy0517 于 2014-4-11 14:54 编辑

我把摄像头采集的数据加入,还是这个鬼样子{:sweat:}(如下图)
并且想显示半边绿色,半边蓝色的数据失败了。sdram输出还是有杂质

zcy0517 发表于 2014-4-11 10:57:25

本帖最后由 zcy0517 于 2014-4-11 10:59 编辑

这是我输出数据经过的fifo(只要数据没满就继续写入,一共8k的width,rdempty没有用它)

qwerttt 发表于 2014-4-11 20:08:02

描述看这种问题关联太多
“且写偶数页时,读奇数页”,有没单独验证SDRAM控制器正确性

步凡王子 发表于 2014-4-14 17:47:46

楼主貌似最近一直在弄SDRAM啊,不知道你用的SDRAM是多大的,我的是8M*16*4bank的。我是整页突发读取时,首个数据出错,其他没有问题。至今没有搞定。

zcy0517 发表于 2014-4-17 22:27:51

步凡王子 发表于 2014-4-14 17:47
楼主貌似最近一直在弄SDRAM啊,不知道你用的SDRAM是多大的,我的是8M*16*4bank的。我是整页突发读取时,首 ...

是不是潜伏期CAS的问题?

grantlu 发表于 2014-4-18 16:00:18

以前经常做这类的应用,给你一个建议,你得一步步的确认各个模块正常,首先得确认 VGA 驱动正常,可以先生成灰阶图像,例如:从左到右灰度从 0 到 255,显示正常后第二步:将灰阶图像写入 SDRAM ,再读出后还能显示正常,第三步写入摄像头数据。

zcy0517 发表于 2014-4-18 16:02:04

grantlu 发表于 2014-4-18 16:00
以前经常做这类的应用,给你一个建议,你得一步步的确认各个模块正常,首先得确认 VGA 驱动正常,可以先生 ...

谢谢你!

winkle 发表于 2014-4-19 20:18:38

感觉 是VGA 时序没有调对
页: [1]
查看完整版本: sdram数据读出vga显示问题求解