zouzhichao 发表于 2014-1-1 01:44:11

开源一个HEX转BIN代码

代码自己写的,在Ubuntu下GCC编译通过,经少量AVR的HEX测试无误,如有纰漏错误,请自行判断纠正!!!!!!!!!!!!!!
#include <stdio.h>
#include <stdlib.h>
#include <string.h>

typedef signed char int8_t;
typedef unsigned char uint8_t;
typedef signed short int16_t;
typedef unsigned short uint16_t;
typedef signed int int32_t;
typedef unsigned int uint32_t;
typedef float flt_t;
typedef double lflt_t;

#define _not_hex_(src) ((('0' > src) || ('9' < src)) && (('a' > src) || ('f' < src)) && (('A' > src) || ('F' < src)))

#define _hex_trans_eq_(src,dst) \
{ \
        if (_not_hex_(src)) \
        { \
                return HEX_ERR; \
        } \
        if (('0' <= (src)) && ('9' >= (src))) \
        { \
                dst = (src) - '0'; \
        } \
        else if (('a' <= (src)) && ('f' >= (src))) \
        { \
                dst = (src) - 'a' + 10; \
        } \
        else if (('A' <= (src)) && ('F' >= (src))) \
        { \
                dst = (src) - 'A' + 10; \
        } \
}

#define _hex_trans_or_(src,dst) \
{ \
        if (_not_hex_(src)) \
        { \
                return HEX_ERR; \
        } \
        if (('0' <= (src)) && ('9' >= (src))) \
        { \
                dst |= (src) - '0'; \
        } \
        else if (('a' <= (src)) && ('f' >= (src))) \
        { \
                dst |= (src) - 'a' + 10; \
        } \
        else if (('A' <= (src)) && ('F' >= (src))) \
        { \
                dst |= (src) - 'A' + 10; \
        } \
}

#define _hex_trans_or_shift_(src,dst) \
{ \
        dst <<= 4; \
        _hex_trans_or_(src,dst); \
}

enum RESULT{HEX_ERR = -1, HEX_DATA_OK = 0, HEX_END_OK = 1, HEX_LBA_OK = 2, HEX_SEG_OK = 3};

int8_t _hex2bin_(const uint8_t* src, uint8_t* dst, uint8_t* data_type, uint8_t* data_len, uint16_t* data_addr)
{
        uint8_t check_sum, i;
        // 如果源code长度小于11,表明源code有误!
        if (11 > strlen((const char*)src))
        {
                return HEX_ERR;
        }

        // 校验起始字节是否为':',如果不为':',表明源code有误!
        if (':' != *src++)
        {
                return HEX_ERR;
        }

        // 得到数据长度的高4位
        _hex_trans_eq_(*src, *data_len);
        src++;
        // 得到数据长度的低4位
        _hex_trans_or_shift_(*src, *data_len);
        src++;
        // 校验和
        check_sum = *data_len;

        // 得到数据地址
        _hex_trans_eq_(*src, *data_addr);
        src++;
        _hex_trans_or_shift_(*src, *data_addr);
        src++;
        // 校验和
        check_sum += *data_addr;
        _hex_trans_or_shift_(*src, *data_addr);
        src++;
        _hex_trans_or_shift_(*src, *data_addr);
        src++;
        // 校验和
        check_sum += *data_addr;

        // 得到数据类型
        _hex_trans_eq_(*src, *data_type);
        src++;
        _hex_trans_or_shift_(*src, *data_type);
        src++;
        // 校验和
        check_sum += *data_type;

        switch (*data_type)
        {
        case 0: // 数据记录
                for (i = *data_len; i; i--, dst++)
                {
                        _hex_trans_eq_(*src, *dst);
                        src++;
                        _hex_trans_or_shift_(*src, *dst);
                        src++;
                        // 校验和
                        check_sum += *dst;
                }
                // 校验
                _hex_trans_eq_(*src, i);
                src++;
                _hex_trans_or_shift_(*src, i);
                check_sum += i;
                return check_sum? HEX_ERR : HEX_DATA_OK;
        case 1: // 文件结束记录
                if (*data_addr)
                {
                        return HEX_ERR;
                }
                // 校验
                _hex_trans_eq_(*src, i);
                src++;
                _hex_trans_or_shift_(*src, i);
                check_sum += i;
                return check_sum? HEX_ERR : HEX_END_OK;
        case 2: // 扩展段地址记录
                if (*data_addr)
                {
                        return HEX_ERR;
                }
                // 得到扩展段地址
                _hex_trans_eq_(*src, *data_addr);
                src++;
                _hex_trans_or_shift_(*src, *data_addr);
                src++;
                // 校验和
                check_sum += *data_addr;
                _hex_trans_or_shift_(*src, *data_addr);
                src++;
                _hex_trans_or_shift_(*src, *data_addr);
                src++;
                // 校验和
                check_sum += *data_addr;
                return check_sum? HEX_ERR : HEX_SEG_OK;
        case 4: // 扩展线性地址记录
                if (*data_addr)
                {
                        return HEX_ERR;
                }
                // 得到扩展段地址
                // 得到数据地址
                _hex_trans_eq_(*src, *data_addr);
                src++;
                _hex_trans_or_shift_(*src, *data_addr);
                src++;
                // 校验和
                check_sum += *data_addr;
                _hex_trans_or_shift_(*src, *data_addr);
                src++;
                _hex_trans_or_shift_(*src, *data_addr);
                src++;
                // 校验和
                check_sum += *data_addr;
                return check_sum? HEX_ERR : HEX_LBA_OK;
        default:
                return HEX_ERR;
        }
        return HEX_ERR;
}

int8_t hex2bin(const int8_t* src_file_path, const int8_t* dst_file_path)
{
        uint8_t buffer_hex, buffer_bin;
        uint8_t data_type, len_bin;
        uint16_t addr_low;
        uint32_t addr_high = 0;
        FILE* src_file;
        FILE* dst_file;
        src_file = fopen((const char*)src_file_path, "r");
        if (!src_file)
        {
                return -1;
        }
        dst_file = fopen((const char*)dst_file_path, "wb");
        if (!dst_file)
        {
                fclose(src_file);
                return -1;
        }
        for ( ; !feof(src_file); )
        {
                if (NULL == fgets((char*)buffer_hex, 1024, src_file))
                {
                        break;
                }
                if (HEX_ERR == _hex2bin_((const uint8_t*)buffer_hex, (uint8_t*)buffer_bin, &data_type, &len_bin, &addr_low))
                {
                        break;
                }
                switch (data_type)
                {
                case 0: // 数据记录
                        if (ftell(dst_file) != addr_low + addr_high)
                        {
                                fseek(dst_file, addr_low + addr_high, SEEK_SET);
                        }
                        if (1 != fwrite((const uint8_t*)buffer_bin, len_bin, 1, dst_file))
                        {
                                fclose(src_file);
                                fclose(dst_file);
                                return -1;
                        }
                        break;
                case 1: // 文件结束记录
                        fclose(src_file);
                        fclose(dst_file);
                        return 0;
                case 2: // 扩展段地址记录
                        addr_high = ((uint32_t)addr_low) << 2;
                        break;
                case 4: // 扩展线性地址记录
                        addr_high = ((uint32_t)addr_low) << 16;
                        break;
                default:
                        fclose(src_file);
                        fclose(dst_file);
                        return -1;
                }
        }
        fclose(src_file);
        fclose(dst_file);
        return 0;
}

int main(void)
{
        if (!hex2bin((const int8_t*)"avr-test.hex",(const int8_t*)"avr-test.bin"))
                printf("ok!\n");
        return 0;
}

一匹狼 发表于 2014-1-1 01:46:58

原来大伙都还没睡啊~

zouzhichao 发表于 2014-1-1 01:59:43

一匹狼 发表于 2014-1-1 01:46
原来大伙都还没睡啊~

刚写完,还没验证几下

sunshulin 发表于 2014-1-1 08:11:29

不错,顶一下{:victory:}

Excellence 发表于 2014-1-1 08:26:32

看看。
谢。


ciddy 发表于 2014-1-1 10:14:31

这个是必须要啊

loveskangaroo 发表于 2014-1-1 10:49:05

这个必须顶。{:smile:}

guitou 发表于 2014-1-17 16:08:08

顶顶,学习{:tongue:}

四轴飞行器 发表于 2014-1-17 16:28:49

为何这么吊 这么叼

NJ8888 发表于 2014-1-17 16:31:18

变通变法时用编程器软件读入HEX,另存BIN

wns245249509 发表于 2014-1-17 16:33:22

可以把将待转换的文件通过main的参数传进来,这样会方便很多啊。。。。。

bygreencn 发表于 2014-1-17 16:34:06

HEX的format你都兼容?好像只是。。。

zouzhichao 发表于 2014-1-19 10:37:17

wns245249509 发表于 2014-1-17 16:33
可以把将待转换的文件通过main的参数传进来,这样会方便很多啊。。。。。

是的,但是文件名空格问题不好解决

zouzhichao 发表于 2014-1-19 10:39:27

bygreencn 发表于 2014-1-17 16:34
HEX的format你都兼容?好像只是。。。

这个暂时没有验证,只验证了WINAVR生成的几个文件,其他的还没试
这个是照着网上HEX的文件描诉写的(参考百度百科),描述支持哪几种,这个就支持哪几种

propor123 发表于 2015-2-1 00:04:00

不错,谢谢。
页: [1]
查看完整版本: 开源一个HEX转BIN代码