40130064 发表于 2013-9-5 09:15:09

求实现74HC245功能程序

求实现74HC245功能程序,需在硬件上运行正常的代码,谁有吗。

zhaotyue 发表于 2013-9-5 09:20:18

这个~~~需要程序吗?!               

hyghyg1234 发表于 2013-9-5 09:21:09

verilog HDL?

chengtina 发表于 2013-9-5 09:21:56

245不是驱动吗?

tongdayusu 发表于 2013-9-5 09:23:22

zhaotyue 发表于 2013-9-5 09:20 static/image/common/back.gif
这个~~~需要程序吗?!

您这头像,让子弹飞一会儿 里面滴?

zhaotyue 发表于 2013-9-5 09:26:03

tongdayusu 发表于 2013-9-5 09:23 static/image/common/back.gif
您这头像,让子弹飞一会儿 里面滴?

是啊。^_^                                    

40130064 发表于 2013-9-5 09:40:38

不是驱动功能,我要双向三态数据总线功能

40130064 发表于 2013-9-5 10:06:31

其实这个只是没法验证,我正在画板子,如果这个出问题的话得重画。{:sad:},所以想知道有没人在实际中用过类似的。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity vhdlTBUF is
Port (         
a,b : inoutSTD_LOGIC_VECTOR (7 downto 0);      
dr : inSTD_LOGIC;   
en : inSTD_LOGIC);
end vhdlTBUF;
architecture Behavioral of vhdlTBUF is

begin
process (a,b,en,dr)
begin
                if (en='1')AND(dr='1') then   
                b<=a;
                else
                b<="ZZZZZZZZ";
               
                end if;
end process;

process (a,b,en,dr)
begin
                if (en='1')AND(dr='0') then   
                a<=b;
                else
                a<="ZZZZZZZZ";
                end if;
end process;

end Behavioral;

jlhgold 发表于 2013-9-6 08:47:42

try this
www.altera.com.cn/support/examples/exm-bus_io.html

jlhgold 发表于 2013-9-6 09:10:46

话说你可以仿真啊,还有vhdl快忘了,那两个if不能在一个process里麽?

when90 发表于 2013-9-6 17:27:02

zhaotyue 发表于 2013-9-5 09:26 static/image/common/back.gif
是啊。^_^

笑尿了 高笑
页: [1]
查看完整版本: 求实现74HC245功能程序