南孤雁2010 发表于 2013-8-9 19:33:47

求助:双口RAM程序报错!VHDL

源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;

entity RAM_2 is
generic(
    data_width : integer := 8;    -- used to change the memory data's width
    addr_width : integer := 11);-- used to change the memery address' width
port (
    clka: instd_logic;
    dina: instd_logic_vector(data_width - 1 downto 0);
    addra : instd_logic_vector(addr_width - 1 downto 0);
    ena   : instd_logic;
    wea   : instd_logic;
    douta : out std_logic_vector(data_width - 1 downto 0);
    clkb: instd_logic;
    dinb: instd_logic_vector(data_width - 1 downto 0);
    addrb : instd_logic_vector(addr_width - 1 downto 0);
    enb   : instd_logic;
    web   : instd_logic;
    doutb : out std_logic_vector(data_width - 1 downto 0));
end RAM_2;

architecture blkmem of RAM_2 is

zkf0100007 发表于 2013-8-9 23:27:16

报什么错?
把错误提示贴上来

南孤雁2010 发表于 2013-8-9 23:38:14

zkf0100007 发表于 2013-8-9 23:27 static/image/common/back.gif
报什么错?
把错误提示贴上来

Error: Cannot synthesize dual-port RAM logic "ram1"

zkf0100007 发表于 2013-8-10 00:02:49

南孤雁2010 发表于 2013-8-9 23:38 static/image/common/back.gif
Error: Cannot synthesize dual-port RAM logic "ram1"

你是用的Xilinx的么,是不是用的IP核?

南孤雁2010 发表于 2013-8-10 15:04:42

zkf0100007 发表于 2013-8-10 00:02 static/image/common/back.gif
你是用的Xilinx的么,是不是用的IP核?

是啊是啊 什么情况?

zkf0100007 发表于 2013-8-10 23:37:38

南孤雁2010 发表于 2013-8-10 15:04 static/image/common/back.gif
是啊是啊 什么情况?

那不应该啊,你用IP核向导生成的么?

南孤雁2010 发表于 2013-8-11 08:22:07

zkf0100007 发表于 2013-8-10 23:37 static/image/common/back.gif
那不应该啊,你用IP核向导生成的么?

不是……是编程的

NJ8888 发表于 2013-8-11 08:36:46

你的实体是RAM2,错误是RAM1{:lol:}

南孤雁2010 发表于 2013-8-11 08:57:42

NJ8888 发表于 2013-8-11 08:36 static/image/common/back.gif
你的实体是RAM2,错误是RAM1

3Q!我去改改~~~
页: [1]
查看完整版本: 求助:双口RAM程序报错!VHDL