搜索
bottom↓
回复: 8

求助:双口RAM程序报错!VHDL

[复制链接]

出0入0汤圆

发表于 2013-8-9 19:33:47 | 显示全部楼层 |阅读模式
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;

entity RAM_2 is
  generic(
    data_width : integer := 8;    -- used to change the memory data's width
    addr_width : integer := 11);  -- used to change the memery address' width
  port (
    clka  : in  std_logic;
    dina  : in  std_logic_vector(data_width - 1 downto 0);
    addra : in  std_logic_vector(addr_width - 1 downto 0);
    ena   : in  std_logic;
    wea   : in  std_logic;
    douta : out std_logic_vector(data_width - 1 downto 0);
    clkb  : in  std_logic;
    dinb  : in  std_logic_vector(data_width - 1 downto 0);
    addrb : in  std_logic_vector(addr_width - 1 downto 0);
    enb   : in  std_logic;
    web   : in  std_logic;
    doutb : out std_logic_vector(data_width - 1 downto 0));
end RAM_2;

architecture blkmem of RAM_2 is

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

发表于 2013-8-9 23:27:16 | 显示全部楼层
报什么错?
把错误提示贴上来

出0入0汤圆

 楼主| 发表于 2013-8-9 23:38:14 | 显示全部楼层
zkf0100007 发表于 2013-8-9 23:27
报什么错?
把错误提示贴上来

Error: Cannot synthesize dual-port RAM logic "ram1"

出0入0汤圆

发表于 2013-8-10 00:02:49 | 显示全部楼层
南孤雁2010 发表于 2013-8-9 23:38
Error: Cannot synthesize dual-port RAM logic "ram1"

你是用的Xilinx的么,是不是用的IP核?

出0入0汤圆

 楼主| 发表于 2013-8-10 15:04:42 | 显示全部楼层
zkf0100007 发表于 2013-8-10 00:02
你是用的Xilinx的么,是不是用的IP核?

是啊是啊 什么情况?

出0入0汤圆

发表于 2013-8-10 23:37:38 | 显示全部楼层
南孤雁2010 发表于 2013-8-10 15:04
是啊是啊 什么情况?

那不应该啊,你用IP核向导生成的么?

出0入0汤圆

 楼主| 发表于 2013-8-11 08:22:07 | 显示全部楼层
zkf0100007 发表于 2013-8-10 23:37
那不应该啊,你用IP核向导生成的么?

不是……是编程的

出0入0汤圆

发表于 2013-8-11 08:36:46 | 显示全部楼层
你的实体是RAM2,错误是RAM1

出0入0汤圆

 楼主| 发表于 2013-8-11 08:57:42 | 显示全部楼层
NJ8888 发表于 2013-8-11 08:36
你的实体是RAM2,错误是RAM1

3Q!我去改改~~~
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-27 03:19

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表