JezzeGoo 发表于 2012-12-18 10:26:24

关于VHDL等于和大于的判断!!


RX_FIFO_USEDW: bufferSTD_LOGIC_VECTOR (3 DOWNTO 0):="0000";

PROCESS(CLK_SYS,RST)
BEGIN
IF(RST='0') THEN
C_S<=S1;
ELSIF(CLK_SYS'EVENT AND CLK_SYS='1') THEN
C_S<=N_S;
END IF;
END PROCESS;               


PROCESS(C_S,RX_FIFO_USEDW,RST,RX_FIFO_EMPTY)
BEGIN
IF(RST='0') THEN
test_led<='1';        LED<='0';
ELSE
N_S<=S1;
CASE C_S IS
        WHEN S1=>IF(RX_FIFO_USEDW="0011") THEN
                                test_led<='0';        LED<='1';
                           END IF;代码如上,RX_FIFO_USEDW连接到一个FIFO使用字节的输出信号上,再由本模块输出,此时当RX_FIFO_USEDW满足“0011”时候代码处IF(RX_FIFO_USEDW="0011") THEN却没有成立,就是下面的灯没点亮。改为>的时候只要满足条件下面的灯就亮了,这是什么原因造成的?
当我把 RX_FIFO_USEDW: bufferSTD_LOGIC_VECTOR (3 DOWNTO 0):="0000";这条语句改为内部的信号 SIGNAL RX_FIFO_USEDW:STD_LOGIC_VECTOR (3 DOWNTO 0):="0000";时,不管大于还是等于,只要满足条件都能点亮。这又是什么原因呢?

sky5566 发表于 2012-12-18 10:54:51

本帖最后由 sky5566 于 2012-12-18 11:00 编辑

沒看到上面程序,我猜的..你可能是有號與無號運算大小有關
use ieee.std_logic_unsigned.all;
VHDL 某些型態是不能做運算的....所以VHDL 必須經常轉態...{:cry:}

JezzeGoo 发表于 2012-12-18 14:08:31

重新改了一下,可能信号出现竞争了
页: [1]
查看完整版本: 关于VHDL等于和大于的判断!!