搜索
bottom↓
回复: 2

关于VHDL等于和大于的判断!!

[复制链接]

出0入0汤圆

发表于 2012-12-18 10:26:24 | 显示全部楼层 |阅读模式

  1. RX_FIFO_USEDW: buffer  STD_LOGIC_VECTOR (3 DOWNTO 0):="0000";

  2. PROCESS(CLK_SYS,RST)
  3. BEGIN
  4. IF(RST='0') THEN
  5. C_S<=S1;
  6. ELSIF(CLK_SYS'EVENT AND CLK_SYS='1') THEN
  7. C_S<=N_S;
  8. END IF;
  9. END PROCESS;               


  10. PROCESS(C_S,RX_FIFO_USEDW,RST,RX_FIFO_EMPTY)
  11. BEGIN
  12. IF(RST='0') THEN
  13. test_led<='1';        LED<='0';
  14. ELSE
  15. N_S<=S1;
  16. CASE C_S IS
  17.         WHEN S1=>[color=Red]IF(RX_FIFO_USEDW="0011") THEN[/color]
  18.                                 test_led<='0';        LED<='1';
  19.                            END IF;
复制代码
代码如上,RX_FIFO_USEDW连接到一个FIFO使用字节的输出信号上,再由本模块输出,此时当RX_FIFO_USEDW满足“0011”时候代码处IF(RX_FIFO_USEDW="0011") THEN却没有成立,就是下面的灯没点亮。改为>的时候只要满足条件下面的灯就亮了,这是什么原因造成的?
当我把 RX_FIFO_USEDW: buffer  STD_LOGIC_VECTOR (3 DOWNTO 0):="0000";这条语句改为内部的信号 SIGNAL RX_FIFO_USEDW:  STD_LOGIC_VECTOR (3 DOWNTO 0):="0000";时,不管大于还是等于,只要满足条件都能点亮。这又是什么原因呢?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2012-12-18 10:54:51 | 显示全部楼层
本帖最后由 sky5566 于 2012-12-18 11:00 编辑

沒看到上面程序,我猜的..你可能是有號與無號運算大小有關
use ieee.std_logic_unsigned.all;
VHDL 某些型態是不能做運算的....所以VHDL 必須經常轉態...

出0入0汤圆

 楼主| 发表于 2012-12-18 14:08:31 | 显示全部楼层
重新改了一下,可能信号出现竞争了
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 07:11

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表