demon_yq 发表于 2012-4-27 01:14:48

哪位老大能帮忙解释下下段FPGA中的TCL脚本啊?

哪位老大能帮忙解释下下段FPGA中的TCL脚本啊?
set_global_assignment -name FAMILY "Cyclone III"
        set_global_assignment -name DEVICE EP3C25Q240C8
        set_global_assignment -name TOP_LEVEL_ENTITY LED8
        set_global_assignment -name ORIGINAL_QUARTUS_VERSION 8.0
        set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:24:14AUGUST 29, 2009"
        set_global_assignment -name LAST_QUARTUS_VERSION 9.0
        set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace
        set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
        set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
        set_global_assignment -name PARTITION_COLOR 14622752 -section_id Top
        set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
        set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
        set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
        set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "AS INPUT TRI-STATED"
        set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
        set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL ON
        set_global_assignment -name VERILOG_FILE LED8.v
        set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
        set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
        set_global_assignment -name USE_CONFIGURATION_DEVICE ON
        set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16
        set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON
        set_global_assignment -name MISC_FILE "F:/Projects/ICDev/EP3c16Q240_Verilog/led/led8.dpf"
        set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

        set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RESET
        set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to light
        set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GCLKP1

snowy 发表于 2012-4-28 07:56:23

你就当英语句子来读就可以了,就是把你的工程和io配置生成了脚本而已,没有特别意义。
来自:amoBBS 阿莫电子论坛 Android客户端

fishplj2000 发表于 2012-4-28 08:36:25

这个quartus工程里面*.qsf文件里面的约束内容
描述了工程的一些参数和特性设置
每项的内容上面都列出来了,应该很容易看懂啊

如果你从来没有接触过,那就难怪了
页: [1]
查看完整版本: 哪位老大能帮忙解释下下段FPGA中的TCL脚本啊?