fwt11 发表于 2011-7-29 20:45:14

开源免费的verilog仿真软件Icarus Verilog

据说是最好的免费verilog仿真软件了
主页    http://iverilog.icarus.com/
windows版主页   http://bleyer.org/icarus/


简单的使用,比如说有两个文件放在 K:\verilog\homework5

simple.v:

module simple(A, B);

   input A;
   output B;

   // mix up the input bits
   assign B = { A, A, A, A };

endmodule


simple_tb.v:

module simple_tb;

   reg A = 4'b1010;

   wire B;

   initial
   begin
      $dumpfile("simple.vcd");
      $dumpvars(0, s);
      $monitor("A is %b, B is %b.", A, B);
      #50 A = 4'b1100;
      #50 $finish;
   end

   simple s(A, B);

endmodule


进入目录编译一下

K:
cd verilog\homework5
iverilog -o simple.vvp simple.v simple_tb.v

输入
vvp simple.vvp
运行仿真

会输出

VCD info: dumpfile simple.vcd opened for output.
A is 1010, B is 0011.
A is 1100, B is 0101.


看波形
gtkwave simple.vcd

http://cache.amobbs.com/bbs_upload782111/files_43/ourdev_661968NQFQ2E.PNG
(原文件名:捕获.PNG)


点击此处下载 ourdev_662025PUUU6F.zip(文件大小:6.74M) (原文件名:iverilog-0.9.4_setup.zip)

lea2005 发表于 2011-7-29 21:51:32

mark

huayuliang 发表于 2011-7-29 21:58:56

学无机酸按爪印。

Totry 发表于 2011-7-29 22:45:35

南京电信表示无法访问

dingxiao 发表于 2011-7-29 22:59:49

好东西~~mark~~

823032003 发表于 2011-7-29 23:24:14

先标记

maqingbiao 发表于 2011-7-29 23:34:50

习惯MODELSIM

gaoyukun 发表于 2011-7-30 00:26:37

先标记:)

yuphone 发表于 2011-7-30 00:28:58

呵呵。linux上也可以用,很好用的。

dzmcs 发表于 2011-8-23 00:19:23

ftp打不开

wuyya 发表于 2011-8-23 08:25:57

正在学习Verilog,简单好用的工具,入门学习好啊!

sijia 发表于 2011-8-23 09:09:35

试试……

goldsea 发表于 2011-8-23 14:41:32

好东西,可以在linux下使用。

liuxiuqi0119 发表于 2011-11-2 15:45:35

标记 学习

lcr12 发表于 2012-4-18 15:35:14

我之前用modelsim se6.5g,不好用,有这个软件试试

qingyin2009 发表于 2012-4-18 20:12:44

还是不习惯仿真,一般用逻辑分析仪做了

simon51 发表于 2012-4-19 09:10:54

果断mark

yuyu87 发表于 2012-4-19 10:29:57

不错,好像蛮简洁的,

mysunmax 发表于 2012-4-19 10:50:49

MODELSIM~不错~

yuzr 发表于 2012-4-19 12:09:19

免费的,看中这个。有时间研究一下。

chunri 发表于 2012-4-26 23:50:48

下来试试看看!

kneken 发表于 2012-4-27 08:08:48

mark!!!!!!!!

betbet 发表于 2012-8-2 20:57:32

不知道怎么用啊?

yangyong1011 发表于 2012-8-2 21:17:17

果断MARK。谢谢分享。

dbx12358 发表于 2012-8-3 20:04:22

这么爽,可以一试,谢谢分享

2006lc 发表于 2012-8-3 20:49:10

谢谢楼主

liurangzhou 发表于 2012-8-3 21:44:39

话说GTKwave关闭时总要弹,问我是不是要关闭,烦死了
iverilog似乎不能编译跟芯片有关的库
页: [1]
查看完整版本: 开源免费的verilog仿真软件Icarus Verilog