搜索
bottom↓
回复: 26

开源免费的verilog仿真软件Icarus Verilog

[复制链接]

出0入0汤圆

发表于 2011-7-29 20:45:14 | 显示全部楼层 |阅读模式
据说是最好的免费verilog仿真软件了
主页    http://iverilog.icarus.com/
windows版主页   http://bleyer.org/icarus/


简单的使用,比如说有两个文件放在 K:\verilog\homework5

simple.v:

module simple(A, B);

   input  [3:0] A;
   output [3:0] B;

   // mix up the input bits
   assign B = { A[0], A[2], A[1], A[3] };

endmodule


simple_tb.v:

module simple_tb;

   reg [3:0] A = 4'b1010;

   wire [3:0] B;

   initial
     begin
        $dumpfile("simple.vcd");
        $dumpvars(0, s);
        $monitor("A is %b, B is %b.", A, B);
        #50 A = 4'b1100;
        #50 $finish;
     end

   simple s(A, B);

endmodule


进入目录编译一下

K:
cd verilog\homework5
iverilog -o simple.vvp simple.v simple_tb.v

输入
vvp simple.vvp
运行仿真

会输出

VCD info: dumpfile simple.vcd opened for output.
A is 1010, B is 0011.
A is 1100, B is 0101.


看波形
gtkwave simple.vcd


(原文件名:捕获.PNG)


点击此处下载 ourdev_662025PUUU6F.zip(文件大小:6.74M) (原文件名:iverilog-0.9.4_setup.zip)

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2011-7-29 21:51:32 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-7-29 21:58:56 | 显示全部楼层
学无机酸按爪印。

出0入0汤圆

发表于 2011-7-29 22:45:35 | 显示全部楼层
南京电信表示无法访问

出0入0汤圆

发表于 2011-7-29 22:59:49 | 显示全部楼层
好东西~~mark~~

出0入0汤圆

发表于 2011-7-29 23:24:14 | 显示全部楼层
先标记

出0入0汤圆

发表于 2011-7-29 23:34:50 | 显示全部楼层
习惯MODELSIM

出0入0汤圆

发表于 2011-7-30 00:26:37 | 显示全部楼层
先标记:)

出0入0汤圆

发表于 2011-7-30 00:28:58 | 显示全部楼层
呵呵。linux上也可以用,很好用的。

出0入0汤圆

发表于 2011-8-23 00:19:23 | 显示全部楼层
ftp打不开

出0入0汤圆

发表于 2011-8-23 08:25:57 | 显示全部楼层
正在学习Verilog,简单好用的工具,入门学习好啊!

出0入0汤圆

发表于 2011-8-23 09:09:35 | 显示全部楼层
试试……

出0入0汤圆

发表于 2011-8-23 14:41:32 | 显示全部楼层
好东西,可以在linux下使用。

出0入0汤圆

发表于 2011-11-2 15:45:35 | 显示全部楼层
标记 学习

出0入0汤圆

发表于 2012-4-18 15:35:14 | 显示全部楼层
我之前用modelsim se6.5g,不好用,有这个软件试试

出0入0汤圆

发表于 2012-4-18 20:12:44 | 显示全部楼层
还是不习惯仿真,一般用逻辑分析仪做了

出0入0汤圆

发表于 2012-4-19 09:10:54 | 显示全部楼层
果断mark

出20入186汤圆

发表于 2012-4-19 10:29:57 来自手机 | 显示全部楼层
不错,好像蛮简洁的,

出0入0汤圆

发表于 2012-4-19 10:50:49 | 显示全部楼层
MODELSIM~不错~

出50入0汤圆

发表于 2012-4-19 12:09:19 | 显示全部楼层
免费的,看中这个。有时间研究一下。

出0入0汤圆

发表于 2012-4-26 23:50:48 | 显示全部楼层
下来试试看看!

出0入0汤圆

发表于 2012-4-27 08:08:48 | 显示全部楼层
mark!!!!!!!!

出0入0汤圆

发表于 2012-8-2 20:57:32 | 显示全部楼层
不知道怎么用啊?

出0入0汤圆

发表于 2012-8-2 21:17:17 | 显示全部楼层
果断MARK。谢谢分享。

出0入0汤圆

发表于 2012-8-3 20:04:22 | 显示全部楼层
这么爽,可以一试,谢谢分享

出0入0汤圆

发表于 2012-8-3 20:49:10 | 显示全部楼层
谢谢楼主

出0入0汤圆

发表于 2012-8-3 21:44:39 | 显示全部楼层
话说GTKwave关闭时总要弹,问我是不是要关闭,烦死了
iverilog似乎不能编译跟芯片有关的库
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 09:27

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表