jssd 发表于 2011-5-28 15:55:02

问一下有关于数据类型转换的问题

用VHDL编程的时候,经常被数据类型困扰,比如:
signal temp1 : std_logic_vector(7 downto 0);
signal temp2 : std_logic_vector(7 downto 0);
signal temp3 : std_logic_vector(7 downto 0);

问题一:temp3<= temp1 - temp2 对不对?
问题二:temp1 <= temp1 + 9 对不对?
问题三:if((temp1-temp2)>50)then 对不对?

如果我一开始用
signal int1 : integer;
而后我想将它转成std_logic_vector怎么办?

weiwei20 发表于 2011-5-28 19:42:47

同感,看我的主题就知道啦

NJ8888 发表于 2011-5-28 19:55:39

转换整形到逻辑向量用conv_std_logic_vector(int1,8);

NJ8888 发表于 2011-5-28 19:58:45

转换逻辑向量到整形conv_integer(temp1(7 downto 0))

lpgy 发表于 2011-5-29 12:45:57

请参考标准库ieee.std_logic_unsigned以及ieee.std_logic_signed,里面有答案

jssd 发表于 2011-5-30 16:17:22

回复【2楼】888888888888
转换整形到逻辑向量用conv_std_logic_vector(int1,8);
-----------------------------------------------------------------------

大哥,你好。我想请教一下,
temp1 : std_logic_vector(9 downto 0);
temp2 : std_logic_vector(9 downto 0);
temp : std_logic_vector(9 downto 0);
如果我想让temp 等于 (temp1+temp2)/2怎么办?除号是无法用于std_logic_vector的。

dzf2222 发表于 2011-5-30 16:54:00

回复【5楼】jssd 龙
-----------------------------------------------------------------------

(temp1+temp2)/2--->temp<=unsigned(temp1)+unsigned(temp2)
除以2就是temp右移1位啊temp<=temp>>1;

dzf2222 发表于 2011-5-30 17:00:19

回复jssd 龙
-----------------------------------------------------------------------

我觉得这个不是很难的东西啊?你既然经常被这个不同数据类型之间的逻辑运算或者算术运算困扰,为什么不去仔细的看一下呢?
其实不是那么困难的,呵呵。
主要是要了解各种库吧。lpdy说的不错,你去看下就知道了。逻辑矢量数据之间是不能进行算术运算的,所以unsigned()或者signed()都可以,当然整形我想也是可以的。要看你包含什么库文件了,库文件不同,数据形式转换函数不一样的。
页: [1]
查看完整版本: 问一下有关于数据类型转换的问题