搜索
bottom↓
回复: 7

问一下有关于数据类型转换的问题

[复制链接]

出0入55汤圆

发表于 2011-5-28 15:55:02 | 显示全部楼层 |阅读模式
用VHDL编程的时候,经常被数据类型困扰,比如:
signal temp1 : std_logic_vector(7 downto 0);
signal temp2 : std_logic_vector(7 downto 0);
signal temp3 : std_logic_vector(7 downto 0);

问题一:temp3  <= temp1 - temp2 对不对?
问题二:temp1 <= temp1 + 9 对不对?
问题三:if((temp1-temp2)>50)then 对不对?

如果我一开始用
signal int1 : integer;
而后我想将它转成std_logic_vector怎么办?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2011-5-28 19:42:47 | 显示全部楼层
同感,看我的主题就知道啦

出0入0汤圆

发表于 2011-5-28 19:55:39 | 显示全部楼层
转换整形到逻辑向量用conv_std_logic_vector(int1,8);

出0入0汤圆

发表于 2011-5-28 19:58:45 | 显示全部楼层
转换逻辑向量到整形conv_integer(temp1(7 downto 0))

出0入0汤圆

发表于 2011-5-29 12:45:57 | 显示全部楼层
请参考标准库ieee.std_logic_unsigned以及ieee.std_logic_signed,里面有答案

出0入55汤圆

 楼主| 发表于 2011-5-30 16:17:22 | 显示全部楼层
回复【2楼】888888888888
转换整形到逻辑向量用conv_std_logic_vector(int1,8);
-----------------------------------------------------------------------

大哥,你好。我想请教一下,
temp1 : std_logic_vector(9 downto 0);
temp2 : std_logic_vector(9 downto 0);
temp : std_logic_vector(9 downto 0);
如果我想让temp 等于 (temp1+temp2)/2怎么办?除号是无法用于std_logic_vector的。

出0入0汤圆

发表于 2011-5-30 16:54:00 | 显示全部楼层
回复【5楼】jssd 龙
-----------------------------------------------------------------------

(temp1+temp2)/2--->temp<=unsigned(temp1)+unsigned(temp2)
除以2就是temp右移1位啊temp<=temp>>1;

出0入0汤圆

发表于 2011-5-30 17:00:19 | 显示全部楼层
回复jssd 龙
-----------------------------------------------------------------------

我觉得这个不是很难的东西啊?你既然经常被这个不同数据类型之间的逻辑运算或者算术运算困扰,为什么不去仔细的看一下呢?
其实不是那么困难的,呵呵。
主要是要了解各种库吧。lpdy说的不错,你去看下就知道了。逻辑矢量数据之间是不能进行算术运算的,所以unsigned()或者signed()都可以,当然整形我想也是可以的。要看你包含什么库文件了,库文件不同,数据形式转换函数不一样的。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 13:29

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表