akuei2 发表于 2010-12-12 08:30:34

[学习笔记] 他和它的故事 之 VerilogHDL 整数除法器

http://cache.amobbs.com/bbs_upload782111/files_35/ourdev_604098OMTTUT.jpg
(原文件名:cover2.jpg)

目录
前言         02
目录         03

第二章整数除法器         04
2.01传统的除法器         04
      实验八:传统除法器         05
2.02循环型除法器         09
      实验九:传统乘法器改进         11
2.03循环除法运算的原理         15
      实验十:从原理到实现的循环除法器         19
总结         23

================================

与整数乘法器相比,整数除法器就单调了一点~
内容什么的,自己看着办吧~

唉 ... 已经没有力吐槽了!


点击此处下载 ourdev_604099OR5WUP.rar(文件大小:2.36M) (原文件名:他和它的故事_之VerilogHDL_整数除法器.rar)

huwenhui 发表于 2010-12-12 08:53:18

标题名有诗意啊

NJ8888 发表于 2010-12-12 10:48:31

整数除法不是有IP吗?XILINX无符号8位除法执行10个时钟,32位除法好像是37个时钟

akuei2 发表于 2010-12-12 11:31:10

》。《 呜呜,楼上误会了!

笔记是为了继续深入探讨Verilog HDL语言,所以才把 整数乘法器和除法器主要 “实验体”。
无符号的除法器,理论上8个时钟即可,我自己编辑的整数除法器,用九个时钟,第一个时钟初始化,八个时钟循环操作 ....
使用 IP 无法更深入 Verilog HDL 语言 呜呜呜,请谅解!

==============================

我时常问自己,如果IP可以实现某个功能,那么Verilog HDL语言要如何编辑,又是用什么原理?
同样的,如果IP可以实现整数除法,那么如何用 Verilog HDL语言实现,又是用什么原理?Verilog HDL又如何表达 ... 这是这一本笔记其中一个初衷。

道不同 ... 见笑了

==============================

avic 发表于 2010-12-12 17:08:53

必须顶!

dalchemist 发表于 2010-12-14 19:54:52

谢谢

semonpic 发表于 2010-12-15 00:55:03

我向来定这些做基础研究的。
页: [1]
查看完整版本: [学习笔记] 他和它的故事 之 VerilogHDL 整数除法器