搜索
bottom↓
回复: 6

[学习笔记] 他和它的故事 之 VerilogHDL 整数除法器

[复制链接]

出0入0汤圆

发表于 2010-12-12 08:30:34 | 显示全部楼层 |阅读模式

(原文件名:cover2.jpg)

目录
前言         02
目录         03

第二章  整数除法器         04
2.01  传统的除法器         04
      实验八:传统除法器         05
2.02  循环型除法器         09
      实验九:传统乘法器改进         11
2.03  循环除法运算的原理         15
      实验十:从原理到实现的循环除法器         19
总结         23

================================

与整数乘法器相比,整数除法器就单调了一点~
内容什么的,自己看着办吧~

唉 ... 已经没有力吐槽了!


点击此处下载 ourdev_604099OR5WUP.rar(文件大小:2.36M) (原文件名:[akuei2]他和它的故事_之VerilogHDL_整数除法器.rar)

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-12-12 08:53:18 | 显示全部楼层
标题名有诗意啊

出0入0汤圆

发表于 2010-12-12 10:48:31 | 显示全部楼层
整数除法不是有IP吗?XILINX无符号8位除法执行10个时钟,32位除法好像是37个时钟

出0入0汤圆

 楼主| 发表于 2010-12-12 11:31:10 | 显示全部楼层
》。《 呜呜,楼上误会了!

笔记是为了继续深入探讨Verilog HDL语言,所以才把 整数乘法器和除法器主要 “实验体”。
无符号的除法器,理论上8个时钟即可,我自己编辑的整数除法器,用九个时钟,第一个时钟初始化,八个时钟循环操作 ....
使用 IP 无法更深入 Verilog HDL 语言 呜呜呜,请谅解!

==============================

我时常问自己,如果IP可以实现某个功能,那么Verilog HDL语言要如何编辑,又是用什么原理?
同样的,如果IP可以实现整数除法,那么如何用 Verilog HDL语言实现,又是用什么原理?Verilog HDL又如何表达 ... 这是这一本笔记其中一个初衷。

道不同 ... 见笑了

==============================

出0入0汤圆

发表于 2010-12-12 17:08:53 | 显示全部楼层
必须顶!

出0入0汤圆

发表于 2010-12-14 19:54:52 | 显示全部楼层
谢谢

出0入0汤圆

发表于 2010-12-15 00:55:03 | 显示全部楼层
我向来定这些做基础研究的。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:31

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表