搜索
bottom↓
回复: 4

Xilinx ISE bit文件的如何生成Bin文件

[复制链接]

出0入0汤圆

发表于 2017-5-18 09:06:38 | 显示全部楼层 |阅读模式
大神,求教:
Xilinx ISE bit文件的如何生成Bin文件。
Led点灯实验,Clk->D9,LED->B12,Rst->N4,如何生成BIN文件,通过串口->STM32->fpga烧录?谢谢!


//===========================================================================
// Module name: led_test.v
// 描述: 每隔1秒依次点亮开发板上的LED0~LED4
//===========================================================================
`timescale 1ns / 1ps

module led_test (
                  clk,           // 开发板上输入时钟: 50Mhz
                  rst_n,         // 开发板上输入复位按键
                  led            // 输出LED灯,用于控制开发板上四个LED(LED1~LED4)
             );
            
//===========================================================================
// PORT declarations
//===========================================================================
input clk;
input rst_n;
output  led;

//寄存器定义
reg [31:0] timer;                  
reg  led;


//===========================================================================
// 计数器计数:循环计数0~4秒
//===========================================================================
  always @(posedge clk or negedge rst_n)    //检测时钟的上升沿和复位的下降沿
    begin
      if (~rst_n)                           //复位信号低有效
          timer <= 0;                       //计数器清零
      else if (timer == 32'd199_999_999)    //开发板使用的晶振为50MHz,4秒计数(50M*4-1=199_999_999)
          timer <= 0;                       //计数器计到4秒,计数器清零
      else
                    timer <= timer + 1'b1;            //计数器加1
    end

//===========================================================================
// LED灯控制
//===========================================================================
  always @(posedge clk or negedge rst_n)   //检测时钟的上升沿和复位的下降沿
    begin
      if (~rst_n)                          //复位信号低有效
          led <= 1'b1;                  //LED灯输出全为高,四个LED灯灭           
      else if (timer == 32'd49_999_999)    //计数器计到1秒,
          led <= 1'b1;                  //LED1点亮
      else if (timer == 32'd99_999_999)    //计数器计到2秒,
          led <= 1'b0;                  //LED1点亮
      else if (timer == 32'd149_999_999)   //计数器计到3秒,
          led <= 1'b0;                  //LED1点亮              //LED3点亮                           
      else if (timer == 32'd199_999_999)   //计数器计到4秒,
          led <= 1'b0;                  //LED1点亮        
    end
   
endmodule





##
NET clk LOC = D9 | TNM_NET = sys_clk_pin | IOSTANDARD = "LVCMOS33";
TIMESPEC TS_sys_clk_pin = PERIOD sys_clk_pin 50000 kHz;
##


##
NET rst_n                                 LOC = N4 | IOSTANDARD = "LVCMOS15"; ## SW2 pushbutton
##

########LED Pin define#####################
NET led                                               LOC = B12 | IOSTANDARD = "LVCMOS33";       ## LED1




阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2017-5-18 09:30:31 | 显示全部楼层

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2017-5-18 10:06:59 | 显示全部楼层
非常,谢谢......!

出0入0汤圆

 楼主| 发表于 2017-5-18 10:24:08 | 显示全部楼层
非常,谢谢......!可以加个好友,有问题再请教一下?

出0入0汤圆

 楼主| 发表于 2017-5-18 13:23:39 | 显示全部楼层

还是不行,不知道那里错误,生成是没有问题,done后不工作。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 00:20

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表