搜索
bottom↓
回复: 21

单片机转FPGA怎么走?

[复制链接]

出0入0汤圆

发表于 2016-6-1 13:26:10 | 显示全部楼层 |阅读模式
本帖最后由 weshare 于 2016-6-1 20:18 编辑

想听下那些从单片机转到FPGA的人的经历。
我自己照着开发板学习了基本的一些操作后,不知所措,求大家指条明路。
小弟大四,课程学了FPGA,自己也动手买开发板学了一些例程,但是就是有点迷茫后面的路子是怎么样的?
我就是想学点门槛高点的,单片机感觉门槛还是不是很高。

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出130入20汤圆

发表于 2016-6-1 13:44:02 | 显示全部楼层
一个坑跳到另一个坑

除非你工作要用,不然强行转过去也白搭,需求岗位远比单片机少,还要求学历

出0入0汤圆

发表于 2016-6-1 13:46:19 | 显示全部楼层
正在转               摸着石头过河呗

出0入0汤圆

发表于 2016-6-1 13:53:28 | 显示全部楼层
不如转软件

出0入0汤圆

 楼主| 发表于 2016-6-1 16:27:49 | 显示全部楼层
顶顶            

出0入0汤圆

发表于 2016-6-1 16:53:56 | 显示全部楼层
古二真               

出0入0汤圆

发表于 2016-6-1 17:03:38 | 显示全部楼层
我学quartus  nios, 用起来还不错,入门快,见效快,FPGA比单片机好玩, 每天学一点,练习一下,没想象中那么难,到opencores网站找一些ip玩。

出0入25汤圆

发表于 2016-6-1 17:31:55 | 显示全部楼层
DWDM 发表于 2016-6-1 17:03
我学quartus  nios, 用起来还不错,入门快,见效快,FPGA比单片机好玩, 每天学一点,练习一下, ...

我觉得学NIOS其实还是在学MCU/MPU,根本就不是学FPGA,

出0入0汤圆

 楼主| 发表于 2016-6-1 17:44:11 | 显示全部楼层
DWDM 发表于 2016-6-1 17:03
我学quartus  nios, 用起来还不错,入门快,见效快,FPGA比单片机好玩, 每天学一点,练习一下, ...

我也到了quartus  nios这块,但是不知道整条路子是怎么样的

出0入0汤圆

发表于 2016-6-1 17:44:16 | 显示全部楼层
学过一段时间,没进门,以后有时间再玩玩

出0入0汤圆

发表于 2016-6-1 17:53:22 | 显示全部楼层
这个也没什么难的买个开发板,熟悉一下开发环境 半个月就上手了,主要是思想
先用原理图的方式编程,好理解
如用文本发会经常发现莫名其妙的事情,我之前就碰到,后来才发现我把FPGA当成单片机用了,人家是并行执行的。
单片机是顺序执行,这两个还是思想的问题。
所以学FPGA主要是思想转换,应用无非都是 led iic spi uart 等等。

出0入0汤圆

发表于 2016-6-1 18:27:00 | 显示全部楼层
我认为用FPGA跑UART IIC  都是蛋蛋疼的行为,宁可多加10片stm8s003也不用FPGA模拟这个东西

FPGA只适合SPI通信

出0入0汤圆

发表于 2016-6-1 19:45:11 | 显示全部楼层
weshare 发表于 2016-6-1 17:44
我也到了quartus  nios这块,但是不知道整条路子是怎么样的

到opencores网站看一下别人用FPGA干什么用途就知道啦, 无非是搞一些通信协议, DSP, SOC, 反正现在FPGA便宜,随便用

出0入0汤圆

发表于 2016-6-1 20:05:35 | 显示全部楼层
我第一个作品(不是产品)是用CPLD做的EPP协议接口ADC,到目前为止,FPGA做的产品还在研发中,没上市

出0入0汤圆

 楼主| 发表于 2016-6-1 20:19:33 | 显示全部楼层
NJ8888 发表于 2016-6-1 20:05
我第一个作品(不是产品)是用CPLD做的EPP协议接口ADC,到目前为止,FPGA做的产品还在研发中,没上市{:lol: ...


小弟大四,课程学了FPGA,自己也动手买开发板学了一些例程,但是就是有点迷茫后面的路子是怎么样的?
我就是想学点门槛高点的,单片机感觉门槛还是不是很高,实习的时候感觉也没有什么意思。或者后面想考研。
请大哥带我飞。

出0入0汤圆

发表于 2016-6-1 20:44:43 | 显示全部楼层
这个难不难最近也要学这个

出0入71汤圆

发表于 2016-6-2 00:10:45 | 显示全部楼层
只有一点要注意,单片机的语句是告诉机器按一定的秩序执行这些语句指令,FPGA的程序是描述了一个网络结构,告诉机器如何连线构成新的电路拓扑,这个概念必须扎根在你的脑袋,否则后面会走火入魔。另外,阳春白雪和下里巴人的道理要好好思考,技术在于精,而不在于门槛高低。

出0入0汤圆

发表于 2016-6-6 09:52:10 | 显示全部楼层
改变思维方式,由软件思维改硬件思维

心中有逻辑门,用硬件描述语言把逻辑门描述出来,实现功能

出0入0汤圆

发表于 2016-6-6 11:31:26 | 显示全部楼层
先熟悉开发环境吧,这个是基础,选对了开发环境,少走弯路,用modelsim+debussy开发还是比较好的,看看FPGA内部结构,这个通过开发软件都可看,当然对硬件描述语言的基本语法要熟悉,常见的概念要有了解,状态机,组合逻辑,时序逻辑,阻塞赋值与非阻塞赋值,流水线等。学会时序分析,正确划分流水线。常用的通信协议要熟悉,UART,SPI,IIC等,另外要会看时序,尤其注意建立时间与保持时间,其实要想学好还是有很多东西要学的。FPGA入门简单,越往后越难,也越有意思。单片机入门要费点功夫,越用越简单。总之,FPGA的关键就两个字,时钟!

出0入0汤圆

发表于 2016-6-6 20:26:23 来自手机 | 显示全部楼层
还有很长的路要走。

出0入0汤圆

发表于 2016-6-6 20:39:39 | 显示全部楼层
7802848 发表于 2016-6-6 09:52
改变思维方式,由软件思维改硬件思维

心中有逻辑门,用硬件描述语言把逻辑门描述出来,实现功能 ...

赞!就是这~

出0入0汤圆

 楼主| 发表于 2016-6-8 11:26:54 | 显示全部楼层
champion_yan 发表于 2016-6-6 11:31
先熟悉开发环境吧,这个是基础,选对了开发环境,少走弯路,用modelsim+debussy开发还是比较好的,看看FPGA ...

谢谢大哥!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 02:13

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表