搜索
bottom↓
回复: 12

verilog中的疑惑

[复制链接]

出0入0汤圆

发表于 2016-5-26 17:17:13 | 显示全部楼层 |阅读模式
Fre我明明在counter函数中作为其q的输出,但下面图clkout2却没连接到counter中去,一直是低电平
是我哪里用得不对吗
程序如下:
module PLL(clk,rest,clkout_1,clkout_2);
input clk;
input rest;
output clkout_1,clkout_2;
wire clkout1M,clkout100k,clkout10k;
wire[19:0] Fre;
PLL_SYS u1 (
        .areset(~rest),
        .inclk0(clk),
        .c0(clkout_1),
        .c1(clkout100k),
        .c2(clkout10k));
counter u2(
        .clock(clkout100k),
        .q(Fre));
assign clkout_2=Fre*2'd2;
endmodule

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

发表于 2016-5-26 18:21:07 来自手机 | 显示全部楼层
用法不对,一个数乘以2等于左移一位,即最低位一直为零,你clkout_2取的就是最低位,所以一直为低了。

出0入90汤圆

发表于 2016-5-26 18:58:49 | 显示全部楼层
本帖最后由 aammoo 于 2016-5-26 19:00 编辑

你直接×2综合器傻眼了
从Fre里面拉一根线出来接上去就好了,×2是什么意思?

出0入54汤圆

发表于 2016-5-26 20:04:47 | 显示全部楼层
1bit的数据你去存1bit * 2
结果是什么呢。。

你的本意是倍频??

出0入0汤圆

发表于 2016-5-26 20:16:50 | 显示全部楼层
*2后一直是0没有错?因为你只是1BIT。

出0入0汤圆

发表于 2016-5-27 13:52:16 | 显示全部楼层
不可综合代码 讨论有意义吗

出0入0汤圆

 楼主| 发表于 2016-5-30 08:56:39 | 显示全部楼层
lusson 发表于 2016-5-26 20:04
1bit的数据你去存1bit * 2
结果是什么呢。。

本意是倍频,我思维错了?

出0入0汤圆

 楼主| 发表于 2016-5-30 08:58:13 | 显示全部楼层
FPGA_WALKER 发表于 2016-5-26 18:21
用法不对,一个数乘以2等于左移一位,即最低位一直为零,你clkout_2取的就是最低位,所以一直为低了。 ...

谢谢,分析很到位。

出0入54汤圆

发表于 2016-5-30 09:18:01 | 显示全部楼层
guo407214944 发表于 2016-5-30 08:56
本意是倍频,我思维错了?

倍频直接乘2的话也真是服了你了。
一般倍频都是用PLL来做吧。

出0入0汤圆

发表于 2016-5-30 10:02:41 | 显示全部楼层
看样子楼主也是刚入门Verilog,我最近也在学FPGA,我在腾讯课堂上听至芯科技尤老师的课,感觉很不错,推荐你也去看看

出0入0汤圆

 楼主| 发表于 2016-5-30 17:10:17 | 显示全部楼层
saddam911 发表于 2016-5-30 10:02
看样子楼主也是刚入门Verilog,我最近也在学FPGA,我在腾讯课堂上听至芯科技尤老师的课,感觉很不错,推荐 ...

我去看看,谢谢、

出0入0汤圆

发表于 2016-7-18 22:33:59 | 显示全部楼层
初学FPGA数据位宽很容易搞错的 多总结 多看RTL

出0入0汤圆

发表于 2016-7-19 09:33:45 | 显示全部楼层
倍频不可以直接*2的
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-27 01:06

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表