搜索
bottom↓
回复: 5

关于VERILOG减少触发器使用的求助

[复制链接]

出0入0汤圆

发表于 2016-5-3 13:02:57 | 显示全部楼层 |阅读模式
ab都是16bit,要求是只用3个触发器实现这个电路,我试着用最简单的状态去写,最后要用到16个寄存器,不知道有什么好的方法可以减少触发器的使用。求助大神

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

发表于 2016-5-3 15:21:01 | 显示全部楼层
三个表达式都是逻辑/算术运算,实际上不需要任何寄存器。不知你16个寄存器用在哪里了?

出0入0汤圆

 楼主| 发表于 2016-5-3 20:25:03 | 显示全部楼层
sme 发表于 2016-5-3 15:21
三个表达式都是逻辑/算术运算,实际上不需要任何寄存器。不知你16个寄存器用在哪里了? ...

题目要求是用到三个触发器,每一步运算,无论是比较加法除法都需要一个时间脉冲,不能直接用组合逻辑的设计思路,这里一个要考虑状态机电路复用。

出0入0汤圆

发表于 2016-5-4 09:28:42 | 显示全部楼层
粉丝别 发表于 2016-5-3 20:25
题目要求是用到三个触发器,每一步运算,无论是比较加法除法都需要一个时间脉冲,不能直接用组合逻辑的设 ...

既然这么多要求,你为什么不把完整要求写出来呢?
a/b都是16位数,又要求中间结果用时钟保存,请问你16个寄存器怎么实现的?

我猜测解题思路是所有数学、逻辑运算其结果不是直接用16个寄存器来保存,而是只保存其结果的标识,例如min {|a|,|b|}的结果,用3'b000/3'b001分别表示|a|和|b|,输出时根据结果为3'b000或3'b001再选择最终输出|a|或|b|。

可能解释得不清楚,说简单点就是16位数的运算,不用寄存器保存其结果,而是另外定义3位状态寄存器,最后根据3位状态寄存器作为输出MUX的sel端,MUX的输入就是各个组合逻辑的运算结果。MUX是16位宽。

出0入0汤圆

发表于 2016-5-4 10:44:47 | 显示全部楼层
题目的要求是不是分为几种情况:
1:|a| > |b|
2:  |a| < |b|
(1) |b| > |a|/4
(2) |b| < |a|/4

之间的组合电路,时序状态跳转,输出。
题目是这个意思吗?

出0入0汤圆

发表于 2016-5-7 17:11:07 | 显示全部楼层
全部都写成组合逻辑不就行了么,0个触发器
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-27 00:20

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表