搜索
bottom↓
回复: 5

能否帮我分析下下面源码中关于Task系统任务调用可行?

[复制链接]

出0入0汤圆

发表于 2015-12-30 22:18:25 | 显示全部楼层 |阅读模式
本帖最后由 xiaozuowei118 于 2015-12-30 22:22 编辑

我的目的是:亮20秒红灯,亮3秒黄灯,亮20秒绿灯,亮3秒黄灯,依次循环,要求使用系统任务,小弟我正在学这个
但是这三个灯,红,黄,绿都是灭的,并不是按我预想的要求执行,请高手指点下,应该怎么弄才是正确的
现在只有led对应的那个灯是对的,我的时钟是50M的。


  1. module TrafficLights(
  2.     input  sys_clk,
  3.     input  rst,
  4.     output reg red_led,yel_led,gre_led,led
  5. );


  6. reg clock;
  7. reg [31:0] count;
  8. parameter on = 1,off = 0;
  9. parameter red_ticks = 20,yel_ticks = 3,gre_ticks = 20;

  10. initial                   //交通灯初始化
  11. begin
  12.     red_led = off;
  13.          gre_led = off;
  14.          yel_led = off;
  15.          count = 0;
  16. end
  17.          
  18. always @(posedge sys_clk or negedge rst)
  19. begin
  20.     red_led = on;                     //开红灯
  21.          light(red_led, red_ticks);        //调用等待任务
  22.          
  23.          yel_led = on;                     //开黄灯
  24.          light(yel_led, yel_ticks);        //调用等待任务
  25.          
  26.          gre_led = on;                     //开绿灯
  27.          light(gre_led, gre_ticks);        //调用等待任务
  28.          
  29.          yel_led = on;                     //开黄灯
  30.          light(yel_led, yel_ticks);        //调用等待任务
  31. end

  32. task light;                           //延时关灯任务
  33.    
  34.     output color;
  35.          input[31:0] tics;
  36.          
  37.          begin
  38.                         repeat(tics)
  39.                             @(posedge clock);
  40.                         color = off;
  41.          end
  42. endtask

  43. always @(posedge sys_clk)             //产生一个1秒的时钟,
  44.     begin
  45.          
  46.              count <= count + 32'b1;                  
  47.                   
  48.                   if(count >= 50_000_000)
  49.                       count <= 32'b0;
  50.                                
  51.                   if(count >= 0 && count < 25_000_000)
  52.                       begin                   
  53.                           clock = 0;
  54.                                led = 0;
  55.                            end
  56.                   else
  57.                            begin                   
  58.                           clock = 1;
  59.                                led = 1;
  60.                            end
  61.          end         
  62.          
  63. endmodule

复制代码



阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2015-12-30 22:53:12 | 显示全部楼层
建议写RTL级代码,入门可以看看王钿和卓兴旺的《基于Verilog HDL的数字系统应用设计》

出0入0汤圆

发表于 2015-12-31 11:18:47 | 显示全部楼层
你这个根本不能综合 没意义

出0入0汤圆

发表于 2015-12-31 11:57:51 | 显示全部楼层
写的代码看不懂哈

你在一个sys_clk里面又repeat(tics),这样可以吗?你想想你生成的是一个什么电路啊?

这个使用状态机是不是会好点?

出0入0汤圆

 楼主| 发表于 2016-1-1 14:00:21 | 显示全部楼层
jm2011 发表于 2015-12-31 11:57
写的代码看不懂哈

你在一个sys_clk里面又repeat(tics),这样可以吗?你想想你生成的是一个什么电路 ...

抄了这个里面的程序改写成到开发板上实践操作的,为了学习下任务和函数的使用,因为我是一个初学者,很多都不懂

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2016-1-1 14:01:24 | 显示全部楼层
本帖最后由 xiaozuowei118 于 2016-1-1 14:03 编辑

我看这后面有一个说明:该模块只是一个行为模块,不能综合成电路网表。对这句话不是太理解
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 02:15

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表