搜索
bottom↓
回复: 7

大家 做 时序仿真吗 ?

[复制链接]

出0入0汤圆

发表于 2015-9-15 21:27:44 | 显示全部楼层 |阅读模式
大家 做 时序仿真吗 ?
altera下,好像一般的流程都是先 做 功能仿真,仿真完成后,使用timequest进行时序 检查;
但我看到xilinx的 说明里,有要求做 时序仿真的 ?xilinx有没有类似于 timequest 的 软件 ?
另外,在xilinx下 大家如何控制时序的 ?

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

发表于 2015-9-16 05:41:42 | 显示全部楼层
fpga仿真要进行三个流程,即综合前仿真、综合后仿真和布局布线仿真。每个流程都要对时序进行测试和查错,只是检查重点各不相同,在前一个仿真正确的基础上才进行后一个仿真的,直至全部正确才进入下载阶段。
至于仿真激励文件,可以用文本编辑器直接编写文本文件,也可以用图形编辑器直观编制波形来形成激励文件。对于复杂输入波形,应该还是图形编辑器效率比较高。

出0入0汤圆

发表于 2015-9-16 08:48:44 | 显示全部楼层
xilinx下也要做的,要自己写个testbench文件,里面加上你需要的激励和输出就行了

出0入0汤圆

发表于 2015-9-16 23:12:49 | 显示全部楼层
Modelsim, 然后自己写脚本,xilinx 有那个 xxx.udo

出0入0汤圆

发表于 2015-9-17 19:04:34 | 显示全部楼层
xilinx公司很棒的,简单的程序系统会自动帮你优化好,根本不需要做时序仿真,功能仿真通过即可

出0入0汤圆

发表于 2015-9-17 20:17:51 | 显示全部楼层
没用过Altera的工具链。

Xilinx的逻辑是功能仿真(综合前,综合后),然后用户给出对应的约束(时钟相关的,其它约束),综合结果会包含相应的时序报告。如果愿意,可以输出带布线延迟信息的网表再去布线后仿真。

你所说的时序检查应该是Xilinx工具链的布线后静态时序分析,这个是布局布线器内置的功能,没有单独的软件了。
反标时序的仿真一般需要很好的,带有延迟信息的激励,很多人没时间搞。。。这个一般要放Modelsim里跑。

出0入0汤圆

发表于 2015-9-19 03:43:56 | 显示全部楼层
FPGA,是否仿真看要求。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-26 23:24

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表