搜索
bottom↓
回复: 3

同样的代码,不一样的仿真结果?

[复制链接]

出0入0汤圆

发表于 2014-12-5 22:33:01 | 显示全部楼层 |阅读模式
本帖最后由 PADS菜鸟 于 2014-12-5 22:33 编辑

软件版本:ISE14.7,modelsimSE10.2c
代码adder4.v
`timescale 1ns / 1ps                        //1ns的仿真刻度,1ps的仿真精度
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date:    16:25:27 12/05/2014
// Design Name:
// Module Name:    adder4
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module adder4(ina,inb,cin,sum,cout);

        //定义输入信号 ina,inb,cin
        input [3:0] ina;
   input [3:0] inb;
   input cin;
        //定义输出信号 sum,cout
   output [3:0] sum;
   output cout;
        //求和输出
        assign {cout,sum} = ina +inb + cin;

endmodule
代码adder4_tb.v
`timescale 1ns / 1ps                        //1ns的仿真刻度,1ps的仿真精度

////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date:   16:38:09 12/05/2014
// Design Name:   adder4
// Module Name:   E:/xilinx/adder4/adder4_tb.v
// Project Name:  adder4
// Target Device:  
// Tool versions:  
// Description:
//
// Verilog Test Fixture created by ISE for module: adder4
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
////////////////////////////////////////////////////////////////////////////////

module adder4_tb;
        //输入信号
        reg [3:0] ina;
        reg [3:0] inb;
        reg cin;
        //输出信号
        wire [3:0] sum;
        wire cout;
        //中间变量
        reg [3:0] i;
        reg [3:0] j;
        //调用被测试模块
        adder4 uut (
                .ina(ina),
                .inb(inb),
                .cin(cin),
                .sum(sum),
                .cout(cout)
        );

        initial begin
                //初始化输入信号
                ina = 0;
                inb = 0;
                cin = 0;
                for(i = 1;i < 16;i = i + 1)
                #10 ina = i;
                end
        initial begin
                for(j = 1;j < 16;j = j + 1)
                #10 inb = j;
                end
        initial begin
                //打印结果输出
                $monitor($time,,,"%d+%d+%d={%b,%b}",ina,inb,cin,cout,sum);
      //仿真160ns结束
                #160 $finish;
                end
      
endmodule
ISIM和modelsimSE10.2c仿真结果如下:

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

 楼主| 发表于 2014-12-5 22:34:53 | 显示全部楼层
这个我知道只是显示方式有问题,但不知道在modelsimSE中该如何设置。

出0入0汤圆

发表于 2014-12-6 10:14:23 | 显示全部楼层
改成16进制吧

出0入4汤圆

发表于 2014-12-6 11:55:50 | 显示全部楼层
显示设置有符号和无符号的问题?
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-26 23:44

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表