搜索
bottom↓
回复: 6

FPGA引脚外接功能问题请教

[复制链接]

出0入0汤圆

发表于 2014-11-5 10:08:05 | 显示全部楼层 |阅读模式

上图是FPGA的引脚定义手册,其中红色框框中的第二功能,PCLKT3_1和PCLKC3_1功能有啥区别,请知道的朋友讲解下。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2014-11-5 10:14:35 | 显示全部楼层
感觉像是PCLK的两个差分输入端,你这芯片是哪个公司的,不像是altera或者xilin的啊。

出0入0汤圆

 楼主| 发表于 2014-11-5 10:18:47 | 显示全部楼层
tangkuan660 发表于 2014-11-5 10:14
感觉像是PCLK的两个差分输入端,你这芯片是哪个公司的,不像是altera或者xilin的啊。 ...

LATTICE的

出0入0汤圆

 楼主| 发表于 2014-11-5 10:20:06 | 显示全部楼层
tangkuan660 发表于 2014-11-5 10:14
感觉像是PCLK的两个差分输入端,你这芯片是哪个公司的,不像是altera或者xilin的啊。 ...

那如果我要从外部给个时钟信号,该用哪个脚,还用这两个任意一个都可以?

出0入0汤圆

发表于 2014-11-5 10:27:38 | 显示全部楼层
如果你输入的时钟为单端的,那么用任何一个都是可以的,以经验来看,PCLKT应该是正端(不保证啊),布线允许的话,单端连接到PCLKT上吧。

出0入0汤圆

 楼主| 发表于 2014-11-5 10:35:27 | 显示全部楼层
tangkuan660 发表于 2014-11-5 10:27
如果你输入的时钟为单端的,那么用任何一个都是可以的,以经验来看,PCLKT应该是正端(不保证啊),布线允许 ...

我原本也以为是接任意个IO口都是可以的,但是我在编译程序时候,有警告说时钟分配给一个非时钟引脚的IO口上,而且此时,那个IO口,连配置都不能配置。

出0入0汤圆

发表于 2014-12-1 21:04:07 | 显示全部楼层
T是正端,连接到T上
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 01:33

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表