搜索
bottom↓
回复: 7

modelsim仿真疑问

[复制链接]

出0入0汤圆

发表于 2014-9-29 14:42:56 | 显示全部楼层 |阅读模式
本帖最后由 guo407214944 于 2014-9-29 14:45 编辑

modelsim中仿真verilog文件,写了一个对应的testbench文件,在modelsim中仿真时,只能看见testbench文件中涉及的信号波形。而与之对应的被激励文件中的端口无信号波形。应该怎样操作彩能看见被激励的文件中端口波形呢

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2014-9-29 15:16:12 | 显示全部楼层
楼主你仔细检查一下信号有没有正确的初始化。

出0入0汤圆

 楼主| 发表于 2014-9-29 15:27:56 | 显示全部楼层
你是说testbench这边吗?算了,我把我的文件传上来
testbench文件下面
`timescale 1ns/1ps

module para_to_seri_tb;
reg in_clk;
reg in_rest;
reg in_en;
reg [7:0]  in_para_data;
wire out_seri_data;

always #5 in_clk=~in_clk;
initial
begin
        in_rest=1'b0;
        in_clk=1'b0;
        #200 in_rest=1'b1;
        in_para_data=8'b11001100;
end
reg[6:0] count;
always@(posedge in_clk or negedge in_rest)
if(!in_rest)
        count<=7'b0;
else if(count>25)
        count<=0;
else
                count<=count+1;
always@(posedge in_clk or negedge in_rest)
if(!in_rest)
        in_en<=1'b0;
else if(count==6||count==18)
        in_en<=1'b1;
else
        in_en<=1'b0;
para_to_seri u
(
.clk(in_clk),
.rest(in_rest),
.en(in_en),
.data_in(in_para_data),
.data_out(out_seri_data)
);

endmodule



被激励的文件
module para_to_seri(clk,rest,en,data_in,data_out);
input clk,rest,en;
input[7:0]  data_in;
output data_out;
reg key1;
always@(posedge clk or negedge rest or negedge en)
        if(!rest)
                        key1<=1'd0;
        else if(!en)
                        key1<=en;
        else
                key1<=key1;
reg eadge_rise;
always@(posedge clk or negedge rest)       
        if(!rest)
                eadge_rise<=1'b0;
        else if(en&&!key1)
                eadge_rise<=1'b1;
        else
                eadge_rise<=eadge_rise;
reg [3:0] count;
always@(posedge clk or negedge rest)       
if(!rest)
        count<=4'b0;
else if(eadge_rise==1)
                count<=4'b0;       
else if(count==4'd10)
                count<=4'b0;
else
        count<=count+1;
reg[7:0] buffer;       
always@(posedge clk or negedge rest)       
if(!rest)
        buffer<=8'b0;
else if((count>1)&&(count<10))
        buffer<={buffer[0],buffer[7:1]};
else if(count==4'd0)
                buffer<=data_in;
else
        buffer<=buffer;
assign  data_out=buffer[0];               
endmodule
               

出0入17汤圆

发表于 2014-9-29 16:05:26 | 显示全部楼层
可以的呀

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2014-9-29 16:08:42 | 显示全部楼层

晕额,你quartus和moldesim分别用的哪个版本?我用的是9.1和10.1版本,不是通过quartus调用的。直接在moldesim中编译,通过后直接仿真,打死都出不来波形。

出0入0汤圆

 楼主| 发表于 2014-9-29 16:34:23 | 显示全部楼层

被激励文件端口波形在添加时,需要对这些端口设置处理吗?我是直接把文件编译成功,就把被激励的文件和testbench文件中的相关引脚添加过来,然后RUN。我这过程是不是哪里漏了一步?我的QQ407214944

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入17汤圆

发表于 2014-9-29 17:26:45 | 显示全部楼层
modelsim 10.1a
你加para_to_seri模块的波形加错了,很奇怪,你load了2个top level module,/para_to_seri和/para_to_seri_tb,正确的para_to_seri模块波形应该在/para_to_seri_tb/u里面加。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2014-9-29 17:40:16 | 显示全部楼层
哎。谢谢你了,我在load信号的时候,load错了。晕了一下午被这软件。谢谢你了/
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 03:16

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表