搜索
bottom↓
回复: 4

分享一个按键程序,通过仿真和实物验证

[复制链接]

出0入25汤圆

发表于 2014-9-25 11:09:12 | 显示全部楼层 |阅读模式
  1. // key.v
  2. module key(
  3.     input clk,          //时钟周期须约为1MS
  4.     input rst,
  5.     input[2:0] keypin,  //按键按下时电平为0
  6.     output reg keydir,  //1 按键被按下  0 按键未被按下
  7.     output reg keyinc,
  8.     output reg keydec
  9. );
  10.     reg[2:0] keyval;
  11.     reg[3:0] keycnt;

  12.     always @(negedge rst or posedge clk) begin
  13.         if(!rst) begin
  14.             keyval <= 0;
  15.             keycnt <= 0;
  16.             keydir <= 0;
  17.             keyinc <= 0;
  18.             keydec <= 0;
  19.         end else begin
  20.             keyval <= keypin;
  21.             if(keypin == keyval) begin
  22.                 if(keycnt  < 11)
  23.                     keycnt <= keycnt + 1;
  24.                 if(keycnt == 10) begin
  25.                     case(keyval)
  26.                         3'b011: keydir <= 1;
  27.                         3'b101: keyinc <= 1;
  28.                         3'b110: keydec <= 1;
  29.                     endcase
  30.                 end
  31.             end else begin
  32.                 keycnt <= 0;
  33.             end
  34.         end

  35.         if(keydir == 1) keydir <= 0;    //按键输出信号只需要持续1个时钟周期
  36.         if(keyinc == 1) keyinc <= 0;
  37.         if(keydec == 1) keydec <= 0;
  38.     end
  39. endmodule
复制代码



本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2014-9-25 12:19:08 | 显示全部楼层
不错,赞一个

出0入0汤圆

发表于 2014-9-25 12:21:10 | 显示全部楼层
很好,赞一个

出0入0汤圆

发表于 2014-9-25 20:45:09 来自手机 | 显示全部楼层
还行,顶一个

出0入0汤圆

发表于 2014-9-25 21:00:56 | 显示全部楼层
mark              
.
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 03:24

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表