搜索
bottom↓
回复: 11

在verilog里面,如果同一个信号需要在两个时钟来驱动怎么...

[复制链接]

出0入0汤圆

发表于 2014-5-11 18:46:46 | 显示全部楼层 |阅读模式
举一个例子,如果对于一个内存模块,如果想实现输入时钟和输出时钟不同步但是与此同时对同一个变量如内存的地址进行操作,应该怎么写?

就比如是这样的,输入50M时钟对内存进行读写。同时用25M的时钟把这个信号读出来。因为涉及到具体的时序变化,所以fifo并不是特别的方便。请教有没有比较科学的写法?谢谢了

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2014-5-11 19:07:40 | 显示全部楼层
做两个时钟,写的时候切到50M,读的时候再切回25M

出0入0汤圆

 楼主| 发表于 2014-5-11 20:55:08 | 显示全部楼层
gliet_su 发表于 2014-5-11 19:07
做两个时钟,写的时候切到50M,读的时候再切回25M

是这样的,比如,写的时候是wclock,读的时候是rclock,这两个时钟同时对同一个变量address进行操作,但是如果不在同一个always里面不能对同一个变量进行操作啊,这样会产生竞争冒险。能具体的说说思路么?

出0入0汤圆

 楼主| 发表于 2014-5-11 20:58:22 | 显示全部楼层
自己顶一顶

出0入4汤圆

发表于 2014-5-11 21:09:28 | 显示全部楼层
标记一下

出0入0汤圆

发表于 2014-5-11 21:15:11 | 显示全部楼层
cxhy 发表于 2014-5-11 20:55
是这样的,比如,写的时候是wclock,读的时候是rclock,这两个时钟同时对同一个变量address进行操作,但是 ...

对同一地址肯定要用FIFO了。乒乓操作,搜一下,有很多介绍的。

出0入0汤圆

 楼主| 发表于 2014-5-11 21:20:35 | 显示全部楼层
gliet_su 发表于 2014-5-11 21:15
对同一地址肯定要用FIFO了。乒乓操作,搜一下,有很多介绍的。

还是谢谢你

出0入0汤圆

发表于 2014-5-11 21:31:16 | 显示全部楼层
本帖最后由 y595906642 于 2014-5-11 21:33 编辑

你需要的的是双端口ram
xilinx和altera家的FPGA都有对应的ip
直接调用就可以了

一般奇怪的需求都是没有好好琢磨

出0入0汤圆

发表于 2014-5-12 08:12:28 | 显示全部楼层
八楼不错........

出0入0汤圆

发表于 2014-5-12 20:48:42 | 显示全部楼层
不错,也有这样的问题

出0入4汤圆

发表于 2014-5-13 07:15:33 来自手机 | 显示全部楼层
地址也可以两个的,读写地址分开赋值,在always块外面做个选择器就可以了,以此类推。

出0入0汤圆

 楼主| 发表于 2014-5-20 20:58:38 | 显示全部楼层
flyfox8 发表于 2014-5-13 07:15
地址也可以两个的,读写地址分开赋值,在always块外面做个选择器就可以了,以此类推。 ...

这几天没法上论坛,这个问题已经解决了。大致思路和你说的差不多。就是对于例如addr这么一个地址变量。在两个不同的模块里面根据需要各自操作,然后改为addr_1和addr_2。然后在一个新模块里面根据模块的实际情况按照时序情况进行分别操作,分时把这两个变量分别赋值给addr。实际操作难度稍微要大一点,多花了一点时间。还是谢谢兄弟哈。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 03:23

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表