搜索
bottom↓
回复: 9

有什么延时的好方法么?

[复制链接]

出0入0汤圆

发表于 2014-4-12 14:52:49 | 显示全部楼层 |阅读模式
我知道一种是直接#3,这样的延时。另一种是:
reg[13:0] delay;        //500us延时计数器

always @(posedge clk or negedge rst_n)
        if(!rst_n) delay <= 14'd0;
        else if(delay < 14'd12500) delay <= delay+1'b1;

wire delay_done = (delay == 14'd12500);        //1ms延时结束

这两种有什么区别,干嘛要用第二种这么麻烦?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2014-4-12 16:09:49 | 显示全部楼层
Verilog是描述性语言,最终要生成查找表和触发器的网络,你试试仿真用的#3能生成什么

出0入0汤圆

发表于 2014-4-12 16:14:02 | 显示全部楼层
一一定要数字电路可以实现的啊

出0入0汤圆

发表于 2014-4-12 17:30:06 | 显示全部楼层
写testbench可以用#

出0入0汤圆

发表于 2014-4-12 20:07:56 | 显示全部楼层
用计数器延时才能综合成实际电路

出0入0汤圆

发表于 2014-4-13 09:13:34 | 显示全部楼层
学FPGA最大的误区就是把verilog当成c语言看待
楼主恰恰正在误区里面徘徊,赶紧改邪归正吧
把你写的verilog,在纸上画个电路

出0入0汤圆

 楼主| 发表于 2014-4-13 09:21:19 | 显示全部楼层
蓝色风暴@FPGA 发表于 2014-4-13 09:13
学FPGA最大的误区就是把verilog当成c语言看待
楼主恰恰正在误区里面徘徊,赶紧改邪归正吧
把你写的verilog ...

受益了!

出0入0汤圆

发表于 2014-4-14 20:08:25 | 显示全部楼层
其实我进来就是想知道还有什么好方法吗?简单点的,比如一两个周期的,有什么语句?我一般用<=多赋值几次,或者多写两个空状态。不知道还有什么好方法。

出0入0汤圆

发表于 2014-4-14 22:46:01 | 显示全部楼层
好好学,把基础认清先

出0入0汤圆

发表于 2014-4-16 21:06:23 | 显示全部楼层
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 03:22

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表