搜索
bottom↓
回复: 15

新手求助VERILOG双控开关仿真不通过

[复制链接]

出0入0汤圆

发表于 2014-3-20 12:24:54 | 显示全部楼层 |阅读模式
用的quartus verilog  初次接触FPGA,暂时无开发板,MODELSIM看波形。

        input                clk;        //时钟         没有用到  组合逻辑
        input                key_left;        //按键1
        input                key_right;        //按键2
        output        led_1;        //LED

        assign led_1 = key_left^key_right;        //LED=按键异或  连续赋值是连线属性

这是组合逻辑,综合结果是异或门,MODELSIM仿真通过,LED电平变化符合预定情况

        input                clk;        //时钟        加入时钟  时序逻辑
        input                key_left;        //按键1
        input                key_right;        //按键2
        output        reg led_1;        //LED

        always @(posedge clk)
             led_1 <=  key_left^key_right;        //LED=按键异或 边沿赋值是寄存器属性

这是时序逻辑,综合结果是异或门+寄存器,MODELSIM仿真没通过, 给了CLK信号和KEY信号,但是LED显示是红线,STX应该就是电平状态未知吧?

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

发表于 2014-3-20 13:01:48 | 显示全部楼层
本帖最后由 shandandan 于 2014-3-20 13:04 编辑

仿了一下没什么问题。





编辑原因:修改附件插入

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2014-3-20 13:06:56 | 显示全部楼层
shandandan 发表于 2014-3-20 13:01
仿了一下没什么问题。

我的LED电平是红色
能上传工程包么

出0入0汤圆

 楼主| 发表于 2014-3-20 13:07:23 | 显示全部楼层
本帖最后由 huangqi412 于 2014-3-20 13:13 编辑

看到了,谢谢,我试试先。

额,我只会用QUARTUS,你的工程不知道怎么用

出0入0汤圆

发表于 2014-3-20 13:07:34 | 显示全部楼层
huangqi412 发表于 2014-3-20 13:06
我的LED电平是红色
能上传工程包么

修改了下,有工程包

出0入0汤圆

 楼主| 发表于 2014-3-20 13:14:30 | 显示全部楼层
   这样,红线

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2014-3-20 13:19:52 | 显示全部楼层
不是语法问题就就帮不了你了。
这种情况什么都有可能:模块没有例化,led_1那根线没接上,等等等等

出0入0汤圆

 楼主| 发表于 2014-3-20 13:25:28 | 显示全部楼层
  
RTL视图

仿真脚本:
`timescale 1 ns/ 1 ns        //仿真时间单位

module key_led_vlg_tst();       

reg clk;                       
reg key_left;       
reg key_right;
                                          
wire led_1;       
                  
        key_led i1 (
                .key_left(key_left),               
                .key_right(key_right),
                .led_1(led_1)
        );
       
//===============这里编辑波形       
initial begin
        key_left          = 1;        //依次各种电平
        key_right         = 1;
        #1000;
        key_left                 = 1;
        key_right         = 0;
        #1000;
        key_left                 = 0;
        key_right         = 1;
        #1000;
        key_left                 = 0;
        key_right         = 0;
        #1000;
        key_left                 = 1;       
        key_right         = 1;
        #1000;       
        $stop;                        //停止
end
      
initial begin
        clk = 0;                //默认等于0
        forever                        //循环翻转 每次10nS
        #10 clk = ~clk;
end
                                                
endmodule

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入8汤圆

发表于 2014-3-20 13:32:07 | 显示全部楼层
没有初始化初值  所以  显示红色。

出0入54汤圆

发表于 2014-3-20 13:40:19 | 显示全部楼层
试着:
output        led_1;        //LED
改成
output        led_1=1'b0;        //LED

PS:实际运行初值肯定是无效的。

出0入0汤圆

发表于 2014-3-20 13:49:26 | 显示全部楼层
key_led i1 (
                .key_left(key_left),               
                .key_right(key_right),
                .led_1(led_1)
        );
这个是组合逻辑的版本?clk没接?

出0入0汤圆

 楼主| 发表于 2014-3-20 14:20:39 | 显示全部楼层
本帖最后由 huangqi412 于 2014-3-20 14:31 编辑
shandandan 发表于 2014-3-20 13:49
key_led i1 (
                .key_left(key_left),               
                .key_right(key_ri ...


太感谢了,  加上一句CLK就好使了, 先测试的组合逻辑版本,直接改代码变成时序逻辑的,忘了仔细检查仿真脚本。

RTL仿真和门级仿真,  组合逻辑和时序逻辑对比很明显。

出0入0汤圆

 楼主| 发表于 2014-3-20 14:21:45 | 显示全部楼层
同一个工程,两段代码,我是用注释来选择的。  VERILOG里是不是没有类似C里的#if条件编译,

出0入0汤圆

发表于 2014-3-20 14:25:05 | 显示全部楼层
huangqi412 发表于 2014-3-20 14:21
同一个工程,两段代码,我是用注释来选择的。  VERILOG里是不是没有类似C里的#if条件编译, ...

有的啊,不过我从来没用过

出0入0汤圆

 楼主| 发表于 2014-3-20 14:34:11 | 显示全部楼层
shandandan 发表于 2014-3-20 14:25
有的啊,不过我从来没用过

再次感谢了

出0入0汤圆

发表于 2014-3-20 14:44:20 | 显示全部楼层

  大家互相学习~
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-27 01:18

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表