搜索
bottom↓
回复: 16

小白问题,百思不得其解

[复制链接]

出0入0汤圆

发表于 2014-3-14 22:04:52 | 显示全部楼层 |阅读模式

为什么输出的b会和a一起变化,我觉得应该会在下一个上升沿才变化。
怎么解释呢?

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

发表于 2014-3-14 22:22:29 | 显示全部楼层
下一個的方式
...............
else if (a) c<=1'b1'
else b<= c;

出0入0汤圆

发表于 2014-3-14 22:35:05 | 显示全部楼层
小小白问楼主,这是c语言吗?51的,还是ARM的

出0入17汤圆

发表于 2014-3-14 22:51:15 | 显示全部楼层
你在激励里面将a置1的时间提前0.05us试试看,然后再试试其它时间看看

出0入0汤圆

发表于 2014-3-14 22:53:39 | 显示全部楼层
always 是并行的,同时执行两个if,不要用c来学verilog。想想电路一开通,所有部分都是同时运行。

出0入0汤圆

发表于 2014-3-15 09:13:50 | 显示全部楼层
szghzhao 发表于 2014-3-14 22:35
小小白问楼主,这是c语言吗?51的,还是ARM的

verilog                                            

出0入54汤圆

发表于 2014-3-15 09:16:52 | 显示全部楼层
我猜:
因为你的a变化刚好在上升沿,所以b也跟着变了。
你试试在下降沿变化a

出0入0汤圆

发表于 2014-3-15 12:54:50 | 显示全部楼层
always 块里面没有见到有begin...end呢?你不加的话编译器就认为你的第二条语句不在这个always块里面啊。那么它就成了相当于一个组合逻辑。你加上begin...end就好了

出0入0汤圆

发表于 2014-3-15 14:18:00 | 显示全部楼层
我也是小白。但是实际电路中,这个会出现亚稳态。

出0入0汤圆

发表于 2014-3-17 20:43:23 | 显示全部楼层
<=是非阻塞赋值
=是阻塞赋值
这个你应该学习一下

出0入0汤圆

发表于 2014-3-18 10:01:23 | 显示全部楼层
你的理解没错,代码也没错。我猜出现这种情况的原因是,你的激励信号a没有使用clk同步。你试试将a和clk错开,就能看出你要的结果了。

出0入0汤圆

发表于 2014-3-18 12:43:07 | 显示全部楼层
楼上正解,把你的顶层module放上了看看就知道了。

出0入0汤圆

 楼主| 发表于 2014-3-20 16:44:20 | 显示全部楼层
已解决
modelsim仿真的时候时序是不严格的,所以如果需要严格的时序,则激励需要严格按照先后来进行编写,比如a的变化是在clk之后的,则a变化之前要等待clk变化。
4楼说的也对。
激励更改一下

initial
begin
  a = 1'b0;
  #100
  @(posedge clk);
  a = 1'b1;
  #20 $stop;
end

这样做就不会

出0入0汤圆

发表于 2014-3-20 17:24:57 | 显示全部楼层
從模組看, a是一個非同步輸入. 把激勵改成同步來讓結果符合預期只是掩耳盜鈴的做法.

出0入0汤圆

 楼主| 发表于 2014-3-20 21:14:37 | 显示全部楼层
Jach 发表于 2014-3-20 17:24
從模組看, a是一個非同步輸入. 把激勵改成同步來讓結果符合預期只是掩耳盜鈴的做法.
...

那正确的做法是什么呢

出20入186汤圆

发表于 2014-3-20 21:22:13 | 显示全部楼层
if xx=xx
必须要加else,即不相等时,b的状态,

出0入0汤圆

发表于 2014-3-21 13:57:17 | 显示全部楼层
gerpayt 发表于 2014-3-20 21:14
那正确的做法是什么呢

問題是: 你要的是甚麼? 一起變可以接受嗎? 下一個升緣再變嗎? 還是都可以?
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-27 01:22

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表