搜索
bottom↓
回复: 8

verilog怎么同时检测一个信号的上升延与下降延,求解?

[复制链接]

出0入0汤圆

发表于 2013-10-31 09:11:43 | 显示全部楼层 |阅读模式
各位大神,小弟准备写一个功能为“检测到时钟信号电平翻转后,输出也翻转的程序”,然后卡在这里了,求建议

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2013-10-31 09:15:32 | 显示全部楼层
如果是想同时检测上升沿和下降沿,把时钟分在两个process中就可以实现。不过从你的内容描述来看,感觉你想要的信号不就是和时钟一样么?看能否描述更清楚点?

出0入17汤圆

发表于 2013-10-31 09:18:14 | 显示全部楼层
看你的描述,直接加个逻辑门就可以了,根本不需要检测

出0入0汤圆

 楼主| 发表于 2013-10-31 10:26:54 | 显示全部楼层
tangkuan660 发表于 2013-10-31 09:15
如果是想同时检测上升沿和下降沿,把时钟分在两个process中就可以实现。不过从你的内容描述来看,感觉你想 ...

其实我在做DPSK调制,然后想在上升延及下降延的时候分别对载波做反相(因为DPSK在数据信号进来的有跳变的时候输出会有反相的)

出0入0汤圆

 楼主| 发表于 2013-10-31 10:27:23 | 显示全部楼层
流氓马 发表于 2013-10-31 09:18
看你的描述,直接加个逻辑门就可以了,根本不需要检测

其实我在做DPSK调制,然后想在上升延及下降延的时候分别对载波做反相(因为DPSK在数据信号进来的有跳变的时候输出会有反相的

出0入0汤圆

发表于 2013-10-31 10:40:15 | 显示全部楼层
那应该用一个至少2倍CLK的时钟去改变DPSK的输出相位吧?为啥不用现有的时钟,倍出一个8倍的时钟,再来用呢?

出0入0汤圆

发表于 2013-10-31 10:50:06 | 显示全部楼层
输入信号速度比较慢的话还好,如果比较快不好弄- -坐等大神来方法- -

出0入0汤圆

 楼主| 发表于 2013-10-31 10:59:01 | 显示全部楼层
tangkuan660 发表于 2013-10-31 10:40
那应该用一个至少2倍CLK的时钟去改变DPSK的输出相位吧?为啥不用现有的时钟,倍出一个8倍的时钟,再来用呢 ...

现在是不知道怎么写,才能让它在上升延和下降延时候载波反相

出0入0汤圆

发表于 2013-10-31 14:50:48 | 显示全部楼层
如果本来clk已经很高,两倍clk太快了,锁相环可以输出两路相位相反的时钟,都在上升沿反相
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 05:23

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表