搜索
bottom↓
回复: 4

vhdl出现不该有的跳转

[复制链接]

出0入0汤圆

发表于 2013-10-25 14:31:29 | 显示全部楼层 |阅读模式
library IEEE;

use IEEE.Std_Logic_1164.all;
use IEEE.Std_Logic_Unsigned.all;

entity led_change is

port(
      clk:in Std_Logic;
      led:out Std_Logic_Vector(7 downto 0)
         );
end led_change;

architecture fun of led_change is
signal cnt: Std_Logic_Vector(31 downto 0);
signal flip_led: Std_Logic_Vector(4 downto 0);
begin
process(clk)
begin
  if(clk'event and clk='1')then
    cnt<=cnt+1;
    if(cnt=25000000)then
      flip_led<=flip_led+1;
      cnt<=(others=>'0');
    end if;
  end if;
  case flip_led is
  when "00000"=>led<="00000000";--0
  when "00001"=>led<="11111111";--1
  when "00010"=>led<="01111111";--2
  when "00011"=>led<="00111111";--3
  when "00100"=>led<="00011111";--4
  when "00101"=>led<="00001111";--5
  when "00110"=>led<="00000111";--6
  when "00111"=>led<="00000011";--7
  when "01000"=>led<="00000001";--8
  when "01001"=>led<="00000000";--9
  when "01010"=>led<="00000001";--10
  when "01011"=>led<="00000011";--11
  when "01100"=>led<="00000111";--12
  when "01101"=>led<="00001111";--13
  when "01110"=>led<="00011111";--14
  when "01111"=>led<="00111111";--15
  when "10000"=>led<="01111111";--16
  when "10001"=>led<="11111111";--17
  when "10010"=>led<="00000000";--18
  when others =>led<="ZZZZZZZZ";--
  end case;
  if(flip_led>"10010")then
    flip_led<="00000";
  end if;
end process;
end fun;

以上语句仿真时从15直接跳到18,why?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2013-10-25 14:49:56 | 显示全部楼层
试试。。
library IEEE;

use IEEE.Std_Logic_1164.all;
use IEEE.Std_Logic_Unsigned.all;

entity led_change is

port(
      clk:in Std_Logic;
      led:out Std_Logic_Vector(7 downto 0)
         );
end led_change;

architecture fun of led_change is
signal cnt: Std_Logic_Vector(31 downto 0);
signal flip_led: Std_Logic_Vector(4 downto 0);
begin
process(clk)
begin
  if(clk'event and clk='1')then
    cnt<=cnt+1;
    if(cnt=25000000)then
      if flip_led/="10010" then
         flip_led<=flip_led+1;
      else flip_led<="00000";
      end if;
      cnt<=(others=>'0');
    end if;
  end if;
  case flip_led is
  when "00000"=>led<="00000000";--0
  when "00001"=>led<="11111111";--1
  when "00010"=>led<="01111111";--2
  when "00011"=>led<="00111111";--3
  when "00100"=>led<="00011111";--4
  when "00101"=>led<="00001111";--5
  when "00110"=>led<="00000111";--6
  when "00111"=>led<="00000011";--7
  when "01000"=>led<="00000001";--8
  when "01001"=>led<="00000000";--9
  when "01010"=>led<="00000001";--10
  when "01011"=>led<="00000011";--11
  when "01100"=>led<="00000111";--12
  when "01101"=>led<="00001111";--13
  when "01110"=>led<="00011111";--14
  when "01111"=>led<="00111111";--15
  when "10000"=>led<="01111111";--16
  when "10001"=>led<="11111111";--17
  when "10010"=>led<="00000000";--18
  when others =>led<="ZZZZZZZZ";--
  end case;
end process;
end fun;

出0入0汤圆

 楼主| 发表于 2013-10-25 14:57:39 | 显示全部楼层
lans0625 发表于 2013-10-25 14:49
试试。。
library IEEE;

呵呵,可否告诉我为什么会出现那个问题。

出0入0汤圆

发表于 2013-10-25 15:05:03 | 显示全部楼层
信号flip_led在两个地方被赋值,且包含于 if 语句。。。。。。。。

出0入0汤圆

 楼主| 发表于 2013-10-30 08:46:43 | 显示全部楼层
lans0625 发表于 2013-10-25 15:05
信号flip_led在两个地方被赋值,且包含于 if 语句。。。。。。。。

谢谢,楼上正解。改完后确实是正常了,但不知道原程序为什么会正好在特定位置跳转。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 05:23

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表