搜索
bottom↓
回复: 1

testbench读取大数据量激励信号

[复制链接]

出0入0汤圆

发表于 2013-8-31 15:49:50 | 显示全部楼层 |阅读模式
在VHDL语言中有这种读取激励信号的方法
           process
           variable vline:LINE;
                variable v: std_logic_vector(9 downto 0);
                file invect:text is "D:\Filter_VHDL\CIC\sin_in.txt";          --读取文件"sin_in.txt"
        begin
        wait until rising_edge(clk);
                   if not (ENDFILE(invect)) then
                           readline(invect,vline);                                                      --readline(invect,vline);加上read(vline,v)是每次读一行里的一个数",再执行readline(invect,vline)时就换行
                                read(vline,v);
                                Xin <= v;
                        end if;
        end process;

问题是:在verilog语言有没有像VHDL这样的读取激励信号的方法?readline(invect,vline);加上read(vline,v)是每次读一行里的一个数",再执行readline(invect,vline)时就换行
我需要的是读取下面这种激励信号,每个时钟周期读取一个数据(每一行一个):
0000000000
0011011000
0100000101
0001101000
1110001001
1100100111
1110011001

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入442汤圆

发表于 2013-8-31 17:50:35 | 显示全部楼层
tcl脚本是可以读取的,LZ可以查查,我没用过。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 05:13

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表