|
发表于 2013-11-7 21:27:58
|
显示全部楼层
本帖最后由 lanliang714 于 2013-11-7 21:30 编辑
wangjun403 发表于 2013-11-6 09:12 ![](static/image/common/back.gif)
是powerline的字体设置问题
powerline的特殊符号,是要特别的字体的
有bug,
我的文件
`timescale 1 ns / 1 ns
module xxx_top #(
parameter LANE_NUM = 1
)
(
input clk_100m ,
input rst_n ,
//srio line interface
input [LANE_NUM-1:0] rd ,
output wire [LANE_NUM-1:0] td ,
//User IF
input clk_srio ,//srio ref clk
input srio_buf0_cs ,
input srio_buf1_cs ,
output [15:0] srio_buf0_rddat ,
output [15:0] srio_buf1_rddat ,
input emif_clk ,
input [15:0] emif_wrdat ,
input [23:0] emif_addr ,
//input emif_rd ,
input emif_wr ,
input emif_rd ,
input emif2srio_ram0_over ,
input emif2srio_ram1_over ,
output reg srio_ram0_ok ,
output reg srio_ram1_ok ,
output srio_irq ,
//status
output sysclk_locked ,
output gxbpll_locked ,
output port_initialized
);
localparam U_DLY = 1 ;
wire txclk ;
wire rxclk ;
wire clk_3125 ;//31.25M
wire clk_625 ;//62.5M
wire clk_125 ;//125M
wire clk_78125 ;//78.125M
wire [15:0] ef_ptr ;//
wire sysclk ;
wire [31:0] io_m_wr_address ;
wire [31:0] io_m_wr_writedata ;
wire [3:0] io_m_wr_byteenable;
wire [6:0] io_m_wr_burstcount;
wire [6:0] io_m_rd_burstcount;
wire [31:0] io_m_rd_readdata ;
wire [31:0] io_s_wr_address ;
wire [31:0] io_m_rd_address ;
wire io_m_rd_waitrequest;
wire io_m_rd_readdatavalid;
reg sys_mnt_s_chipselect;
wire sys_mnt_s_waitrequest;
reg sys_mnt_s_write ;
reg sys_mnt_s_read ;
reg [16:0] sys_mnt_s_address ;
reg [31:0] sys_mnt_s_writedata;
wire [31:0] sys_mnt_s_readdata;
reg mnt_s_chipselect;
wire mnt_s_waitrequest;
reg mnt_s_write ;
reg mnt_s_read ;
reg [25:0] mnt_s_address ;
reg [31:0] mnt_s_writedata;
wire [31:0] mnt_s_readdata;
reg emif2srio_ram0_over_r1;
reg emif2srio_ram1_over_r1;
//assign sysclk = clk_3125;
例化结果,有些不该有的信号 |
本帖子中包含更多资源
您需要 登录 才可以下载或查看,没有帐号?注册
x
|