搜索
bottom↓
楼主: Fourier00

写了一个同步fifo,初学者可以参考参考

[复制链接]

出0入0汤圆

发表于 2013-8-25 20:18:04 | 显示全部楼层 |阅读模式
fifo在 芯片和逻辑应用非常的广泛,是非常常见的基础模块,写了一个简单的fifo,
  1. module fifo_syn
  2. (
  3.     clk        ,
  4.     rst_n      ,
  5.     fifo_wr_en ,
  6.     fifo_wr_dat,
  7.     fifo_rd_en ,
  8.     fifo_rd_dat,
  9.     fifo_full  ,
  10.     fifo_empty ,
  11.     fifo_cnt
  12. );
  13. parameter WWIDTH = 8; //可参数化的地址位宽,
  14. parameter DWIDTH = 8; //参数化的数据位宽
  15. input               clk        ;  
  16. input               rst_n      ;
  17. input               fifo_wr_en ; //写使能
  18. input  [DWIDTH-1:0] fifo_wr_dat; //写数据
  19. input               fifo_rd_en ; //读使能
  20. output              fifo_rd_dat; //读数据
  21. output              fifo_full  ; //满信号
  22. output              fifo_empty ; //空信号
  23. output [WWIDTH-1:0] fifo_cnt   ; //fifo 计数,从0~255,256可以用满来表示
  24. reg    [WWIDTH:0]   waddr      ; //写地址
  25. reg    [WWIDTH:0]   raddr      ; //读地址
  26. ram_ref  //例化ram
  27. #(
  28.     .DWIDTH(DWIDTH            ),
  29.     .WWIDTH(WWIDTH            )
  30. )
  31. u_ram_ref
  32. (
  33.     .clk   (clk               ),
  34.     .wr_en (fifo_wr_en        ),
  35.     .waddr (waddr[WWIDTH-1:0] ),
  36.     .wdata (fifo_wr_dat       ),
  37.     .raddr (raddr[WWIDTH-1:0] ),
  38.     .rdata (fifo_rd_dat       )
  39. );
  40. assign fifo_cnt   =  waddr[WWIDTH-1:0] - raddr[WWIDTH-1:0];
  41. assign fifo_full  = (waddr == raddr)?1'b1:1'b0; //读写地址相等的时候,满信号拉起来
  42. assign fifo_empty = ((waddr[WWIDTH]!=raddr[WWIDTH])&&(waddr[WWIDTH-1:0]==raddr[WWIDTH-1:0]))?1'b1:1'b0;//最高位不相等,低位相等的时候,是空

  43. always @(posedge clk or negedge rst_n)
  44. begin
  45.     if(rst_n == 1'b0)
  46.         waddr <= 'd0;
  47.     else if(fifo_wr_en == 1'b1 && fifo_full == 1'b0) //有写使能,并且fifo非满的时候写地址自增
  48.         waddr <= #1 waddr + 'd1;
  49. end
  50. always @(posedge clk or negedge rst_n)
  51. begin
  52.     if(rst_n == 1'b0)
  53.         raddr <= 'd0;
  54.     else if(fifo_rd_en == 1'b1&& fifo_empty == 1'b0) //有读使能,并且fifo非空的时候读地址自增
  55.         raddr <= #1 raddr + 'd1
  56. end
  57. endmodule
  58. module ram_ref  //ram模型,2个时钟周期出数据,在读地址给出之后,综合工具可以自己推断出RAM,或者自己用coregen生成ram
  59. (
  60.     clk   ,
  61.     wr_en ,  //ram写使能
  62.     waddr ,  //ram写地址
  63.     wdata ,  //ram写数据
  64.     raddr ,  //ram写地址
  65.     rdata    //ram读数据
  66. );
  67. parameter WWIDTH = 8;
  68. parameter DWIDTH = 8;
  69. parameter DEPTH  = 2**WWIDTH;
  70. input     [DWIDTH -1:0]    wdata;
  71. input     [WWIDTH -1:0]    waddr;
  72. input                      wr_en;
  73. input     [DWIDTH -1:0]    rdata;
  74. output    [WWIDTH -1:0]    raddr;
  75. reg       [DWIDTH-1 :0]    mem_ram[DEPTH-1:0];
  76. reg       [DWIDTH-1 :0]    q;
  77. always @(posedge clk)
  78. begin
  79.     if(wr_en == 1'b1)   
  80.      mem_ram[waddr] <= #1 wdata;
  81. end

  82. always @(posedge clk)
  83. begin
  84.         q <= #1 mem_ram[raddr];
  85.     rdata <= #1 q;
  86. end
  87. endmodule
复制代码

出0入0汤圆

发表于 2013-8-25 21:00:09 | 显示全部楼层
支持,感谢分享

出0入0汤圆

发表于 2013-8-26 10:10:51 | 显示全部楼层
学习了

出0入0汤圆

发表于 2013-8-26 16:56:20 | 显示全部楼层
绝对的好东西,谢谢分享

出0入0汤圆

 楼主| 发表于 2013-8-28 22:28:18 | 显示全部楼层
原来的代码有些问题,重新上传

出0入0汤圆

 楼主| 发表于 2013-8-28 22:28:57 | 显示全部楼层
  1. `timescale 1ns/1ns
  2. module ram_ref  //ram模型,2个时钟周期出数据,在读地址给出之后
  3. (
  4.     clk   ,
  5.     wr_en ,  //ram写使能
  6.     waddr ,  //ram写地址
  7.     wdata ,  //ram写数据
  8.     raddr ,  //ram写地址
  9.     rdata    //ram读数据
  10. );
  11. parameter WWIDTH = 8;
  12. parameter DWIDTH = 8;
  13. parameter DEPTH  = 2**WWIDTH;
  14. input                      clk  ;
  15. input     [DWIDTH -1:0]    wdata;
  16. input     [WWIDTH -1:0]    waddr;
  17. input                      wr_en;
  18. output reg    [DWIDTH -1:0]    rdata;
  19. input     [WWIDTH -1:0]    raddr;
  20. reg       [DWIDTH-1 :0]    mem_ram[DEPTH-1:0];
  21. reg       [DWIDTH-1 :0]    q;
  22. always @(posedge clk)
  23. begin
  24.     if(wr_en == 1'b1)   
  25.      mem_ram[waddr] <= #1 wdata;
  26. end

  27. always @(posedge clk)
  28. begin
  29.         q <= #1 mem_ram[raddr];
  30.     rdata <= #1 q;
  31. end
  32. endmodule
复制代码

出0入0汤圆

 楼主| 发表于 2013-8-28 22:29:16 | 显示全部楼层
  1. `timescale 1ns/1ns
  2. module fifo_syn
  3. (
  4.     clk        ,
  5.     rst_n      ,
  6.     fifo_wr_en ,
  7.     fifo_wr_dat,
  8.     fifo_rd_en ,
  9.     fifo_rd_dat,
  10.     fifo_full  ,
  11.     fifo_empty ,
  12.     fifo_cnt
  13. );
  14. parameter WWIDTH = 8; //可参数化的地址位宽,
  15. parameter DWIDTH = 8; //参数化的数据位宽
  16. input               clk        ;  
  17. input               rst_n      ;
  18. input               fifo_wr_en ; //写使能
  19. input  [DWIDTH-1:0] fifo_wr_dat; //写数据
  20. input               fifo_rd_en ; //读使能
  21. output [DWIDTH-1:0] fifo_rd_dat; //读数据
  22. output              fifo_full  ; //满信号
  23. output              fifo_empty ; //空信号
  24. output [WWIDTH-1:0] fifo_cnt   ; //fifo 计数,从0~255,256可以用满来表示
  25. reg    [WWIDTH:0]   waddr      ; //写地址
  26. reg    [WWIDTH:0]   raddr      ; //读地址
  27. ram_ref  //例化ram
  28. #(
  29.     .DWIDTH(DWIDTH            ),
  30.     .WWIDTH(WWIDTH            )
  31. )
  32. u_ram_ref
  33. (
  34.     .clk   (clk               ),
  35.     .wr_en (fifo_wr_en        ),
  36.     .waddr (waddr[WWIDTH-1:0] ),
  37.     .wdata (fifo_wr_dat       ),
  38.     .raddr (raddr[WWIDTH-1:0] ),
  39.     .rdata (fifo_rd_dat       )
  40. );
  41. assign fifo_cnt   =  waddr[WWIDTH-1:0] - raddr[WWIDTH-1:0];
  42. assign fifo_empty  = (waddr == raddr)?1'b1:1'b0; //读写地址相等的时候,满信号拉起来
  43. assign fifo_full = ((waddr[WWIDTH]!=raddr[WWIDTH])&&(waddr[WWIDTH-1:0]==raddr[WWIDTH-1:0]))?1'b1:1'b0;//最高位不相等,低位相等的时候,是空

  44. always @(posedge clk or negedge rst_n)
  45. begin
  46.     if(rst_n == 1'b0)
  47.         waddr <= 'd0;
  48.     else if(fifo_wr_en == 1'b1 && fifo_full == 1'b0) //有写使能,并且fifo非满的时候写地址自增
  49.         waddr <= #1 waddr + 'd1;
  50. end
  51. always @(posedge clk or negedge rst_n)
  52. begin
  53.     if(rst_n == 1'b0)
  54.         raddr <= 'd0;
  55.     else if(fifo_rd_en == 1'b1&& fifo_empty == 1'b0) //有读使能,并且fifo非空的时候读地址自增
  56.         raddr <= #1 raddr + 'd1;
  57. end
  58. endmodule
复制代码

出0入0汤圆

 楼主| 发表于 2013-8-28 22:29:33 | 显示全部楼层
  1. `timescale 1ns/1ns
  2. module tb();
  3. reg            clk;
  4. reg            rst_n;
  5. reg            fifo_wr_en ;
  6. reg  [7:0]     fifo_wr_dat;
  7. reg            fifo_rd_en ;
  8. wire [7:0]     fifo_rd_dat;

  9. initial
  10. begin
  11.         fifo_rd_en = 'd0;
  12.         rst_n = 1;
  13.         #10;
  14.         rst_n = 0 ;
  15.         #10;
  16.         rst_n = 1;
  17. end

  18. initial
  19. begin
  20.         clk =0;
  21.         forever clk = #50 ~clk;
  22. end

  23. task   FIFO_WR;
  24. input  [7:0] dat;
  25. begin
  26.         @(posedge clk)
  27.         begin
  28.                 fifo_wr_en  <= #1 1'b1;
  29.                 fifo_wr_dat <= #1 dat;
  30.         end
  31.         @(posedge clk)
  32.         begin
  33.                 fifo_wr_en  <= #1 1'b0;
  34.                 fifo_wr_dat <= #1 dat;
  35.         end

  36. end
  37. endtask

  38. task   FIFO_RD ;
  39. reg  [7:0] dat;
  40. begin
  41.         @(posedge clk)
  42.         begin
  43.                 fifo_rd_en <= #1 1'b1;
  44.         end
  45.         @(posedge clk)
  46.         begin
  47.                 fifo_rd_en <= #1 1'b0;
  48.         end  
  49.         @(posedge clk)
  50.         begin
  51.           dat <= #1 fifo_rd_dat;
  52.         end
  53.         $display("fifo read dat is %h",dat) ;
  54. end
  55. endtask

  56. integer i;
  57. initial
  58. begin
  59. for(i =0 ;i <256 ;i = i +1)
  60. begin
  61.         FIFO_WR(i);       
  62. end

  63. for(i =0 ;i <256 ;i = i +1)
  64. begin
  65.         FIFO_RD;       
  66. end
  67.        
  68. end


  69. fifo_syn u_fifo_syn
  70. (
  71.     .clk        (clk        ),
  72.     .rst_n      (rst_n      ),
  73.     .fifo_wr_en (fifo_wr_en ),
  74.     .fifo_wr_dat(fifo_wr_dat),
  75.     .fifo_rd_en (fifo_rd_en ),
  76.     .fifo_rd_dat(fifo_rd_dat),
  77.     .fifo_full  (           ),
  78.     .fifo_empty (           ),
  79.     .fifo_cnt   (           )
  80. );

  81. endmodule
复制代码

出0入0汤圆

 楼主| 发表于 2013-8-28 22:31:38 | 显示全部楼层
下面是modelsim工程,直接下载用modelsim就可以跑起来

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2013-9-15 21:01:44 | 显示全部楼层
do文件,先把不必保存在这里怕这整丢了
vlib work
vmap work work
vlog fifo.v
vlog ram_ref.v
vlog tb.v
vsim -novopt work.tb
#add wave
add wave -position insertpoint sim:/tb/*
run 1ms

出0入0汤圆

 楼主| 发表于 2013-9-15 21:58:48 | 显示全部楼层
批处理文件
call D:\modeltech_10.1a\win32\vsim.exe -do wave.do

出0入0汤圆

 楼主| 发表于 2013-9-15 22:04:09 | 显示全部楼层
Fourier00 发表于 2013-9-15 21:58
批处理文件
call D:\modeltech_10.1a\win32\vsim.exe -do wave.do

只要将上述的5个文件拷到一个projectname目录下,运行wave.bat就可以直接弹出波形

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2013-9-15 22:08:48 | 显示全部楼层
Fourier00 发表于 2013-9-15 22:04
只要将上述的5个文件拷到一个projectname目录下,运行wave.bat就可以直接弹出波形
...

修改bat文件为你本人的modelsim安装路径,点击wave.bat就可以弹出波形

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2013-9-15 22:10:24 | 显示全部楼层
波形如下图所示

出0入0汤圆

 楼主| 发表于 2013-9-15 22:10:54 | 显示全部楼层
Fourier00 发表于 2013-9-15 22:10
波形如下图所示

波形问题 RT

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2013-9-15 22:31:06 来自手机 | 显示全部楼层
认真学习吧

出0入0汤圆

发表于 2014-4-7 22:32:27 | 显示全部楼层
好好看看
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-18 08:28

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表