搜索
bottom↓
回复: 0

【点滴积累FPGA】宽度和长度都可配置的寄存器模型.

[复制链接]

出0入0汤圆

发表于 2013-8-18 16:41:23 | 显示全部楼层 |阅读模式
这是一个独立寄存器模型的VERILOG描述,调用的时候可以设置两个参数,一个是寄存器的位宽,一个是寄存器的深度。
我们在VERILOG编码的项目描述中一般都将寄存器和其他数字组合逻辑写在一起,但是有时候在管脚接口出,以及异步时钟区域,或者故意延迟信号几个节拍,需要用到独立的寄存器。用这个寄存器,设置两个参数就可以实现任意位宽和深度的寄存器,非常方便。
实现代码如下:

module regs
#(parameter DATA_WIDTH=1,
parameter DATA_LEN=1)(
input clk,
input [DATA_WIDTH-1:0] d,
output [DATA_WIDTH-1:0] q
);  
genvar i;
generate
        for(i=0;i<DATA_LEN;i=i+1)
        begin : gen16
reg [DATA_WIDTH-1:0]R;
always @ (posedge clk)
if (i==0)R<=d;
else
R<=gen16[i-1].R;
end        
    endgenerate
    assign q = gen16[DATA_LEN - 1].R;
endmodule

1,看这代码用到了generate 关键字,这类似脚本命令,控制生成数量为 DATA_LEN 组的寄存器。
2,在for循环里面,每次调用上次的寄存器,延迟一个拍子给当前寄存器,R<=gen16[i-1].R;  当前的寄存器是R 而上一次循环的寄存器是gen16[i-1].R  这种表达很少用到,但是在流水线的数据里面经常遇到,但是很有用。
3,调用例化的时候我们 regs #(a,b)  UU (.....);就可以实现位宽为a,长度为b的寄存器实现,简单方便。


这里我们写一个调用模块,
module wb_io(
    input clk,
    input[1:0] d,
    output [1:0]q
    );
     
regs  #(2,4)regs(
.clk(clk),
.d(d),
.q(q)
);   
endmodule

之后下面是生成的RTL图,看到完全正确。

   

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 05:20

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表