搜索
bottom↓
回复: 13

照书上抄的程序不能综合!,大家帮忙看看

[复制链接]

出0入0汤圆

发表于 2013-8-15 09:59:42 | 显示全部楼层 |阅读模式
module wireExample (BpW,Error,Wait,Valid,Clear);
        input Error,Wait,Valid,Clear;
        output BpW;
        wire BpW;
       
        assign BpW = Error & Wait;
        assign BpW = Valid | Clear;
       
endmodule

错误信息如下

Info: *******************************************************************
Info: Running Quartus II 32-bit Analysis & Synthesis
        Info: Version 12.0 Build 178 05/31/2012 SJ Full Version
        Info: Processing started: Thu Aug 15 09:53:34 2013
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off wireExample -c wireExample
Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected
Error (10170): Verilog HDL syntax error at wireExample.v(8) near text "wire";  expecting an identifier ("wire" is a reserved keyword )
Error (10112): Ignored design unit "wireExample" at wireExample.v(1) due to previous errors
Info (12021): Found 0 design units, including 0 entities, in source file wireexample.v
Error: Quartus II 32-bit Analysis & Synthesis was unsuccessful. 2 errors, 0 warnings
        Error: Peak virtual memory: 260 megabytes
        Error: Processing ended: Thu Aug 15 09:53:35 2013
        Error: Elapsed time: 00:00:01
        Error: Total CPU time (on all processors): 00:00:01

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2013-8-15 10:23:44 | 显示全部楼层
自己根据错误的理解找到了根源是信号只能在一个进程里驱动,如下两句被多个驱动了,可怎么改写程序呢,我刚学FPGA
assign BpW = Error & Wait;
assign BpW = Valid | Clear;

出0入0汤圆

发表于 2013-8-15 11:07:34 | 显示全部楼层
assign Bpw = (Error & Wait )| valid | clear;

出0入0汤圆

 楼主| 发表于 2013-8-15 11:17:22 | 显示全部楼层
深海烟花 发表于 2013-8-15 11:07
assign Bpw = (Error & Wait )| valid | clear;

多谢,错误没有了,RTL图如下

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2013-8-15 11:19:00 | 显示全部楼层
深海烟花 发表于 2013-8-15 11:07
assign Bpw = (Error & Wait )| valid | clear;

可原图是这样的

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2013-8-15 11:21:06 | 显示全部楼层
深海烟花 发表于 2013-8-15 11:07
assign Bpw = (Error & Wait )| valid | clear;

这样改是没有了错了,可与原来的意图不一致了,你看怎么弄?

出0入0汤圆

发表于 2013-8-15 11:21:44 | 显示全部楼层
不同综合器综合结果不同太正常了
但是实现的功能是一样的

出0入0汤圆

 楼主| 发表于 2013-8-15 11:25:03 | 显示全部楼层
是不是像图1-6这样的接法,好像本来就不能综合?

出0入0汤圆

发表于 2013-8-15 11:25:39 | 显示全部楼层
moyanqd 发表于 2013-8-15 11:21
这样改是没有了错了,可与原来的意图不一致了,你看怎么弄?

assign Bpw = (Error & Wait )| (valid | clear); 加个括号试一试。

出0入0汤圆

发表于 2013-8-15 11:58:28 | 显示全部楼层
这个本来就不可以综合的啊。乖乖 Bpw=error&wait Bpw = valid | clear,这怎么实现嘛?要是这两个门出来的结果不同一个‘1’,一个‘0’,那Bpw到底等于什么?这个是不能综合的。

出0入0汤圆

 楼主| 发表于 2013-8-15 11:58:40 | 显示全部楼层
深海烟花 发表于 2013-8-15 11:25
assign Bpw = (Error & Wait )| (valid | clear); 加个括号试一试。

加了括号和原来一样,

出0入0汤圆

 楼主| 发表于 2013-8-15 12:16:52 | 显示全部楼层
门前榕树Z 发表于 2013-8-15 11:58
这个本来就不可以综合的啊。乖乖 Bpw=error&wait Bpw = valid | clear,这怎么实现嘛?要是这两个门出来的 ...

现在我也是这么想的,此书误人

出0入0汤圆

 楼主| 发表于 2013-8-15 12:32:01 | 显示全部楼层
大家推荐几本FPGA入门的书籍吧

出0入0汤圆

 楼主| 发表于 2013-8-15 16:52:06 | 显示全部楼层
找到理论依据了,依据来源 《Verilog数字系统设计教程(第2版)》

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 05:25

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表