搜索
bottom↓
回复: 1

VERILOG怎么样实现顶层文件调用其他模块?

[复制链接]

出0入0汤圆

发表于 2013-5-28 10:03:22 | 显示全部楼层 |阅读模式
module Audio(clkin,data_in,bclk,data_out,wclk,count,counti,mclk);

input clkin,data_in,bclk,wclk;
output mclk;
output [15:0]data_out;
output  [2:0]counti;
output  [1:0]count;

wire  wclk;
wire  clkin;
wire  bclk;
wire  bclk1;
wire  wclk1;
wire  [2:0]counti;
wire  [1:0]count;

clk  clk(clkin,mclk);
div  div(clkin,counti,bclk1);
div1 div1(bclk1,count,wclk1);
data data(data_in,bclk1,wclk1,data_out);


endmodule

这个是我写的顶层文件,其中clkin分频产生了bclk,bclk分频产生了wclk,总模块里面 这几个都是要输入的信号clkin,data_in,bclk,wclk。。。。

但是我现在的仿真时序中,bclk和wclk两个信号都什么也没有输出,我改怎么改?

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2013-5-28 10:46:41 | 显示全部楼层
确定一下输入输出关系,你调用的方式没问题
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 07:23

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表