搜索
bottom↓
回复: 16

QuartusII +modelsim-altera的后仿真 VHDL版

[复制链接]

出0入0汤圆

发表于 2013-3-4 11:36:20 | 显示全部楼层 |阅读模式
周末自己捣鼓出来的笔记~~~~
欢迎大家指教~~~~

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

 楼主| 发表于 2013-3-4 11:37:08 | 显示全部楼层
怎么帖子不支持上传word 文档呢~~~~

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入399汤圆

发表于 2013-3-4 13:39:03 | 显示全部楼层
好资料啊,quarters本身不就带仿真么,为何还用modelsim-altera仿真呢?

出0入0汤圆

 楼主| 发表于 2013-3-6 13:41:35 | 显示全部楼层
R88 发表于 2013-3-4 13:39
好资料啊,quarters本身不就带仿真么,为何还用modelsim-altera仿真呢?

QII  在10.0 之后好像就不再带有逻辑功能仿真了。。用第三方仿真软件是大势所趋~~~

出0入399汤圆

发表于 2013-3-10 11:10:48 | 显示全部楼层
suxilong 发表于 2013-3-6 13:41
QII  在10.0 之后好像就不再带有逻辑功能仿真了。。用第三方仿真软件是大势所趋~~~ ...

楼主问你几个问题:
1,

这个必须命名DUT么?我不了解波形文件的格式。
2,

c输出的仿真波形一开始那个红线什么意思?看样子不高不低是初始化呢?
3,我用第二种方式的时候,将那三个文件.vho .xrf .sdo放入到了一个文件夹之后,点change directory选中相应目录之后没有任何反应啊?

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2013-3-10 11:47:12 | 显示全部楼层
QuartusII 太大了!

出0入399汤圆

发表于 2013-3-10 11:52:12 | 显示全部楼层
第三个问题解决了,貌似还得建个project,才会将那三个文件加进来。楼主的第三部呢?

还有文中说到第二章方法需要手动添加库,但是文中好像并没有这一步?难道是仿真库和激励文件一起编译了?

出0入0汤圆

 楼主| 发表于 2013-3-11 10:39:35 | 显示全部楼层
R88 发表于 2013-3-10 11:52
第三个问题解决了,貌似还得建个project,才会将那三个文件加进来。楼主的第三部呢?

还有文中说到第二章 ...

MODELSIM-ALTERA  默认已经将ALTERA的所有器件库都添加了。所有不用建库,而modelsim se  好像就要,我没有玩过,但听说要!但其实你想想也知道,modelsim 作为一个第三方软件 它不可能智能到知道你用什么芯片,然后自动帮你添加, 因为FPGA不只是单单只有ALTERA一家在做,所以添加库的作用就是告诉软件你用的是什么芯片,然后软件调用参数模拟出 延时

出0入0汤圆

 楼主| 发表于 2013-3-11 10:44:17 | 显示全部楼层
R88 发表于 2013-3-10 11:10
楼主问你几个问题:
1,

1。 DUT 这个是自己命名的,你想命名什么就什么,只是在 设置modelsim 开始仿真的时候,记得作用域添加的地方对应一样就可以了  

2。红色那部分是表示高阻态,因为我在testbench 中 没有定义好a,b 初始化的时候为什么值,所以才有高阻态 。

出0入399汤圆

发表于 2013-3-11 11:22:34 | 显示全部楼层
suxilong 发表于 2013-3-11 10:44
1。 DUT 这个是自己命名的,你想命名什么就什么,只是在 设置modelsim 开始仿真的时候,记得作用域添加的 ...

此处不是定义了初始值么?

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2013-3-12 10:38:14 | 显示全部楼层
R88 发表于 2013-3-11 11:22
此处不是定义了初始值么?

是喔!!!那就奇怪了~~~~~但红色确实是高阻态 不确定值的意思~~~我再研究研究先~~~!!!

出0入0汤圆

发表于 2013-3-24 16:21:11 | 显示全部楼层

出0入0汤圆

发表于 2013-3-29 10:12:10 | 显示全部楼层
谢谢了,学习中~~~~~~

出0入0汤圆

发表于 2013-6-28 19:23:12 | 显示全部楼层
感谢楼主提供这么好的资料,正在学习

出0入0汤圆

发表于 2013-10-29 23:08:55 | 显示全部楼层
楼主好东西!谢谢!

出0入0汤圆

发表于 2013-12-29 11:17:59 | 显示全部楼层
学习了 ~~~~~~~~~~~

出0入0汤圆

发表于 2015-10-18 11:51:43 | 显示全部楼层
有没有下文啊楼主,我现在学VHDL,但是网上很多都是verilog语言来编写的仿真,真头大。

楼主搞清楚了吗






回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-26 23:25

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表