搜索
bottom↓
回复: 8

关于FPGA,verilog编程的initial

[复制链接]

出0入0汤圆

发表于 2013-1-24 13:06:57 | 显示全部楼层 |阅读模式
这个initial语句块是不是只有仿真的时候才有用(ModelSim)呀?

跑FPGA班子的时候是不是initial语句是不起作用的?

但有时候我用initial赋了个初值,它还是在板子上正常显示了(用灯)


小生是在不明白、

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2013-1-24 14:36:39 | 显示全部楼层
initial是用于测试时对外部输入信号进行初始化而引入的。
不可综合.

出0入0汤圆

 楼主| 发表于 2013-1-24 14:38:41 | 显示全部楼层
alphalovelife 发表于 2013-1-24 14:36
initial是用于测试时对外部输入信号进行初始化而引入的。
不可综合.

万分谢谢。
RTL就是综合的意思吗?

下入FPGA板子里面的东西,综合出来的电路的数据,对吗?

出0入0汤圆

 楼主| 发表于 2013-1-24 14:44:24 | 显示全部楼层
alphalovelife 发表于 2013-1-24 14:36
initial是用于测试时对外部输入信号进行初始化而引入的。
不可综合.

我代码里面有一句

reg init_sel;
initial begin
        init_sel = 0;
end

此句话确实执行了,在FPGA板子上反应出来了的、

出0入0汤圆

发表于 2013-1-24 17:20:50 | 显示全部楼层
不是你这个句话反应出来了,寄存器上电初始化值即为0,以前听别人说过initial在某些综合工具可识别(我没试过),initial一般是不可综合,最好在仿真中用。

出0入0汤圆

 楼主| 发表于 2013-1-24 18:34:49 | 显示全部楼层
lj_swust 发表于 2013-1-24 17:20
不是你这个句话反应出来了,寄存器上电初始化值即为0,以前听别人说过initial在某些综合工具可识别(我没试 ...

我后面的语句是对init_sel信号进行判断,以执行设备初始化时序。

init_sel=0时,进行初始化。

我没有添加nitial_sel语句块时,无法进行正常的初始化。
我添加initial语句块,用init_sel = 1或者其他不为0的数,同样无法正常初始化。
只有用init_sel=0时,才能正常初始化、

出0入0汤圆

发表于 2013-1-28 10:04:40 | 显示全部楼层
initial 现在很多工具也能综合了,能综合的话会综合成寄存器的init值,即上电配置后的值,跟rst值不是一回事。但是不推荐用,可以用直接声明寄存器时赋初值或者用attribute

出0入0汤圆

 楼主| 发表于 2013-1-28 12:04:17 | 显示全部楼层
hejc06 发表于 2013-1-28 10:04
initial 现在很多工具也能综合了,能综合的话会综合成寄存器的init值,即上电配置后的值,跟rst值不是一回 ...

感谢大侠~

出0入0汤圆

发表于 2013-1-28 12:27:49 来自手机 | 显示全部楼层
可以把init中的语句放到RST中~
这样每次复位,就可以初始化了
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 07:22

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表