搜索
bottom↓
回复: 40

弄到一块PCI的FPGA板卡,开始整它

  [复制链接]

出0入0汤圆

发表于 2012-12-21 15:27:45 | 显示全部楼层 |阅读模式
本帖最后由 modelsim 于 2012-12-21 15:29 编辑

板卡相关资料全部上传,整个工程需要研究FPGA板卡程序、板卡驱动开发、板卡测试程序开发,请有经验的朋友指导指导

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2012-12-21 15:31:46 | 显示全部楼层
啥板子啊?

出0入0汤圆

发表于 2012-12-22 20:40:33 | 显示全部楼层
期待楼主更新啊

出0入0汤圆

发表于 2012-12-22 20:48:27 | 显示全部楼层
頂樓主!

出0入0汤圆

发表于 2012-12-22 21:06:41 | 显示全部楼层
有没有板子的说明书啊?

出0入0汤圆

发表于 2012-12-22 21:25:10 | 显示全部楼层
jordonwu 发表于 2012-12-21 15:31
啥板子啊?

A

赛克隆
2


出0入0汤圆

发表于 2012-12-23 03:37:41 | 显示全部楼层
这方面的资料比较少。谢谢楼主。

出0入0汤圆

 楼主| 发表于 2012-12-27 17:22:37 | 显示全部楼层
开始学verilogHDL,第一篇7段数码管的控制

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2012-12-27 17:53:31 | 显示全部楼层
FPGA,好高深的样子

出0入0汤圆

发表于 2012-12-28 09:41:32 | 显示全部楼层
不错的板子

出0入0汤圆

发表于 2013-1-11 19:47:22 | 显示全部楼层
好东西啊 楼主

出0入0汤圆

 楼主| 发表于 2013-1-16 11:27:21 | 显示全部楼层
本帖最后由 modelsim 于 2013-1-16 11:30 编辑

一个比较简单的verilog写SPI设备程序
module write_93lc46b(
        clk,
        rst_n,
        spi_out
        );

input clk;
input rst_n;
output[2:0] spi_out;
       
parameter T2US = 23'd99; //500kHz
reg[6:0] cnt;
always@(posedge clk or negedge rst_n)
        if(!rst_n)
                cnt <= 7'd0;
        else if(cnt == T2US)
                cnt <= 7'd0;
        else
                cnt <= cnt + 1'b1;

reg[8:0] addr;
reg[15:0] data;
reg[5:0] i;
reg rCS;
reg rCLK;
reg rDO;
reg[24:0] spidata;
//下面的写法 SPI的时钟就会变成250kHz
always@(posedge clk or negedge rst_n)
        if(!rst_n)
                begin
                i <= 6'd0;
                rCS <= 1'b0;
                rCLK <= 1'b1;
                rDO <= 1'b0;
                addr <= 9'b101_00000;
                data <= 16'b0000_0000_0000_0000;
                spidata <= {addr,data};
                end
        else
                case(i)
                        6'd0,6'd2,6'd4,6'd6,6'd8,6'd10,6'd12,6'd14,6'd16,6'd18,6'd20,6'd22,6'd24,6'd26,6'd28,6'd30,6'd32,6'd34,6'd36,6'd38,6'd40,6'd42,6'd44,6'd46,6'd48:
                                if(cnt == T2US)
                                        begin
                                        rCLK <= 1'b0;
                                        rDO <= spidata[24-(i>>1)];
                                        i <= i+1'b1;
                                        end
                        6'd1,6'd3,6'd5,6'd7,6'd9,6'd11,6'd13,6'd15,6'd17,6'd19,6'd21,6'd23,6'd25,6'd27,6'd29,6'd31,6'd33,6'd35,6'd37,6'd39,6'd41,6'd43,6'd45,6'd47,6'd49:
                                if(cnt == T2US)
                                        begin
                                        rCLK <= 1'b1;
                                        i <= i+1'b1;
                                        end
                        6'd50:
                                if(cnt == T2US)
                                        i <= i+1'b1;
                        6'd60:
                                if(cnt == T2US)
                                        begin
                                        i <= 6'd0;
                                        addr <= addr + 1'b1;
                                        data <= data + 16'b0001_0001_0001_0001;
                                        if(addr > 9'b101_11111)
                                                begin
                                                addr <= 9'b101_00000;
                                                data <= 16'b0000_0000_0000_0000;
                                                end
                                        spidata <= {addr,data};
                                        end
                        default:
                                if(cnt == T2US)
                                        i <= i+1'b1;
                endcase
               
assign spi_out = {rCS,rCLK,rDO};
                       
endmodule


以下是testbech
`timescale 1 ns/ 1 ns
module m93lc46b_top_vlg_tst();
reg clk;
reg rst_n;                                          
wire [2:0] spi_out;
                     
m93lc46b_top i1 (  
        .clk(clk),
        .rst_n(rst_n),
        .spi_out(spi_out)
);
initial                                                
begin                                                  
        clk = 0;
        forever
                #10 clk = ~clk;
end
                                                   
initial                                                
begin                                                  
        rst_n = 0;
        #1000;
        rst_n = 1;
        #5000000;
        $stop;
end
                                                   
endmodule

出0入0汤圆

 楼主| 发表于 2013-1-17 11:45:47 | 显示全部楼层
modelsim 发表于 2013-1-16 11:27
一个比较简单的verilog写SPI设备程序
module write_93lc46b(
        clk,

rCS <= 1'b1;rCS应该为1使能芯片

出0入0汤圆

发表于 2013-1-17 14:00:14 | 显示全部楼层
不错啊,有空也学习一下。

出0入0汤圆

发表于 2013-2-4 10:50:12 | 显示全部楼层
有板子的资料吗 这好像都是芯片资料啦

出0入0汤圆

发表于 2013-2-4 11:40:07 | 显示全部楼层
板子的实物图呢?

出0入0汤圆

发表于 2013-2-4 14:02:08 | 显示全部楼层
资料提供给大家了,大家一起整。。

出0入0汤圆

 楼主| 发表于 2013-2-5 13:17:09 | 显示全部楼层
i_kkyu 发表于 2013-2-4 14:02
资料提供给大家了,大家一起整。。

所有的资料都上了

出0入0汤圆

发表于 2013-2-5 13:24:58 | 显示全部楼层
有空看看,标记下

出0入0汤圆

发表于 2013-3-4 17:37:22 | 显示全部楼层
楼主上个开发板的图呗

出0入0汤圆

发表于 2013-7-23 14:43:31 | 显示全部楼层
太好了,也学习学习,

出0入0汤圆

发表于 2013-12-5 15:52:16 | 显示全部楼层
http://www.amobbs.com/thread-5560189-1-1.html

出0入0汤圆

发表于 2013-12-5 15:53:17 | 显示全部楼层
刚好看了上面的帖子  就在楼主这里搜到资料了 yeah

出0入0汤圆

发表于 2013-12-5 21:41:26 | 显示全部楼层
顶一顶楼主

出0入0汤圆

发表于 2013-12-10 15:18:32 | 显示全部楼层
貌似见过这个FPGA的设计~~~~~~~~板子做的也不错~

出0入0汤圆

发表于 2013-12-10 15:36:24 | 显示全部楼层
驱动和测试程序及FPGA都只是FIFO测试的,没有SDRAM部分呢的驱动、测试及FPGA~~~guolh@163.com

出0入0汤圆

发表于 2014-12-9 09:15:19 | 显示全部楼层
只能先MARK

出0入0汤圆

发表于 2015-1-24 17:56:48 | 显示全部楼层
好资料啊,这准备搞这个呢

出0入0汤圆

发表于 2015-1-29 13:40:52 | 显示全部楼层
是用软核产生的吗

出0入0汤圆

发表于 2015-3-13 19:40:26 | 显示全部楼层
非常好,正需要呀,

出0入0汤圆

发表于 2015-3-15 10:53:26 | 显示全部楼层
最近也要做这方面东西:)

出0入0汤圆

发表于 2015-3-15 22:40:13 | 显示全部楼层
不知道楼主用的怎么样了?

出0入0汤圆

发表于 2015-3-26 12:26:40 | 显示全部楼层
非常需要,有没有板的图片啊

出0入0汤圆

发表于 2015-6-22 11:30:21 | 显示全部楼层
最近我也在研究PCI,谢谢楼主分享

出0入0汤圆

发表于 2015-8-6 13:26:44 来自手机 | 显示全部楼层
看成pcie 了

出0入0汤圆

发表于 2015-8-11 09:41:32 | 显示全部楼层
支持一下,升级有急用

出0入4汤圆

发表于 2015-11-15 09:48:44 来自手机 | 显示全部楼层
mark,准备学习一下

出0入0汤圆

发表于 2016-6-25 21:03:30 | 显示全部楼层
楼主不错,下了东西就要支持一下

出0入0汤圆

发表于 2016-6-28 20:51:41 | 显示全部楼层
这帖子有年头了,还在用cyclone ii

出0入91汤圆

发表于 2016-6-28 21:02:34 | 显示全部楼层
以前玩过netfpga  也是pcie x4的 那吊吊的玩了一个月项目停了

出0入0汤圆

发表于 2017-2-21 11:21:53 | 显示全部楼层
多谢楼主
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-23 23:39

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表