搜索
bottom↓
回复: 2

菜鸟的vhdl问题

[复制链接]

出0入0汤圆

发表于 2012-11-30 16:37:03 | 显示全部楼层 |阅读模式
process(kz)
begin
for i in 20 downto 1 loop  
     if ck='1' then   
      y<=‘1‘;
          wait until ck='0';     
     else null;   
   end if;      
      if ck='0' then   
    y<=‘0’;     
  wait until ck='1';      
else null;     
  end if;         
   end loop;     
       end process;

我想在在kz变化时让y接的灯闪灭十下然后熄灭,ck是一直都有的基准时钟信号,应该怎么写,我这样写会提示错误
好像是wait until那边的错误,刚学vhdl不太懂

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2012-12-5 21:10:37 | 显示全部楼层
很少用 wait 和 for 循环

出0入0汤圆

发表于 2012-12-11 18:44:41 | 显示全部楼层
楼至,好像wait不能被综合,你的具体条件是什么?
在ck上升沿检测kz?发现kz变法就让灯闪烁十下?
下面是我写的,不知对不对,以前学过一段时间,现在忘了很多,可能语法不对。
我感觉我把问题复杂化了,写了这么多代码

process(CK,RST)
begin
  if (RST =1) then
    kz_d0 <= '0';
  elsif (CK'event and CK = '1') then
    kz_d0 <= kz;
  end if;
end process;

process(CK,RST)
begin
  if (RST =1) then
    s <= '0';
  elsif (CK'event and CK = '1') then
    if (kz != kz_d0) then               --kz变化
      s <= '1';
    else
      s <= '0';
    end if;
  end if;
end process;

process(CK,RST)
begin
  if (RST =1) then
    count <= '0';                     --定义为0~8的整数信号
  elsif (CK'event and CK = '1') then
    if (s = '1')
      if (count = 8) then
        count <= 0;
      else
        count <= count + '1';
      end if;
    else
      count <= '0';
    end if;
  end if;
end process;

process(CK,RST)
begin
  if (RST =1) then
    y <= '0';
  elsif (CK'event and CK = '1') then
    if (count != 0) then
      y <= y + '1';                  --y,二进制,01交替变化
    else
      y <= '0';
    end if;
  end if;
end process;
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 07:24

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表