搜索
bottom↓
回复: 2

关于VHDL的延时问题,怎么在进程中执行延时呢?

[复制链接]

出0入0汤圆

发表于 2012-11-22 17:56:28 | 显示全部楼层 |阅读模式
程序如下,这样的话在num赋给n的时候,num也同时被置0吧?怎么延时执行f进程呢?想了好久,求解呀!!!



g:PROCESS(en)      
  BEGIN
   IF en'EVENT AND en='0'THEN
    n0 <=num0;   
    n1 <=num1;   
    n2 <=num2;   
    n3 <=num3;
    n4 <=num4;
    n5 <=num5;
    n6 <=num6;
    n7 <=num7;
   END IF;  
  END PROCESS;

f:PROCESS(wave,en)   
  BEGIN
  IF en='0' THEN
    num0<=0;
    num1<=0;
    num2<=0;
    num3<=0;
    num4<=0;
    num5<=0;
    num6<=0;
    num7<=0;
END IF;
END PROCESS;

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2012-11-22 18:48:27 | 显示全部楼层
还是想不明白,望有人能指点一下

出0入0汤圆

发表于 2012-11-25 12:16:26 | 显示全部楼层
楼主,这样子行不?

signal a:std_logic:='0';
g:PROCESS(en)      
  BEGIN
   IF en'EVENT AND en='0'THEN
    n0 <=num0;   
    n1 <=num1;   
    n2 <=num2;   
    n3 <=num3;
    n4 <=num4;
    n5 <=num5;
    n6 <=num6;
    n7 <=num7;
   a<='1';
   END IF;  
   if a='1' then
     a<='0';
   end if;
  END PROCESS;

f:PROCESS(wave,en)   
  BEGIN
  IF en='0'  and a='1' THEN
    num0<=0;
    num1<=0;
    num2<=0;
    num3<=0;
    num4<=0;
    num5<=0;
    num6<=0;
    num7<=0;
END IF;
END PROCESS;
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 07:21

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表