搜索
bottom↓
回复: 4

Verilog元件例化问题请教

[复制链接]

出0入0汤圆

发表于 2012-11-13 22:48:21 | 显示全部楼层 |阅读模式
本帖最后由 zpwkxg 于 2012-11-13 22:51 编辑

在Verilog例化语句中,是否有些端口可不例化,如果不例化,那么它们状态怎么样呢?我看到一个例子:
vga vga_inst
(
.reset_n(reset_n) ,// input  reset_n_sig
.pixel_clock(clk_pixel) ,// input  pixel_clock_sig
.hs(hs_w/*vga_hs*/) ,// output  hs_sig
.vs(vs_w/*vga_vs*/) ,// output  vs_sig
//.blank(vga_blank) ,// output  blank_sig
//.rgb(vga_rgb), // output [23:0] rgb_sig
.de(vga_de) // output  de_sig
);
例子中例化一个VGA模块,但blank和vga_rgb端口例化注释掉了,这样相当于“调用”参数个数不同了,能这样做吗?在VGA定义模块中,我看到rgb有默认的值。

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2012-11-13 22:58:24 | 显示全部楼层
向万能的论坛求助,这部分语法在哪里?

出0入0汤圆

发表于 2012-11-14 09:48:04 | 显示全部楼层
括号里不填参数就行了

出0入0汤圆

发表于 2012-11-14 10:01:13 | 显示全部楼层
括号不写参数或者,直接省掉需要忽略的接口都可以!

出0入0汤圆

 楼主| 发表于 2012-11-14 20:13:26 | 显示全部楼层
谢谢楼上两位。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 07:16

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表