搜索
bottom↓
回复: 4

FPGA+cy68013调试出现的奇怪问题,各位请进

[复制链接]

出0入0汤圆

发表于 2012-11-12 10:12:42 | 显示全部楼层 |阅读模式
本帖最后由 kingkoyan 于 2012-11-12 10:12 编辑

因为实际需要,这几天自己做了个usb的小模块,开板回来后自己买了芯片cy68013(56引脚ssop封装的)和元器件,焊好后就开始了测试。
用万用表测试了芯片的工作电压3.29v,由fpga输出提供给68013的时钟频率24MHZ,下载固件到68013后测得的clkout输出的频率48MHZ,这些都没啥问题,用手摸了下芯片有点发热,这些都说明芯片正常工作。
然后打开电脑的设备管理器,也找到了硬件,说明芯片和设备驱动正常工作。



找到了硬件;


测试usb的速度

打开传输的结果,问题就在此,我在fpga内面的程序就是输出的数不停的加1,从上面的图可以看出:
最开始传输数据的时候还是一直加1的,是正确的,可是传着传着就不正确了,一直到后面全部都是0,没有数据了,这是什么原因呢?求各位大侠指点。
00B0  32 FF 33 FF 34 FF 35 FF 36 FF 37 FF 38 FF 39 FF
00C0  3A FF 3B FF 3C FF 3D FF 3E FF 3F FF 40 FF 41 FF
00D0  42 FF 43 FF 44 FF 45 FF 46 FF 47 FF 48 FF 49 FF
00E0  4A FF 4B FF 4C FF 4D FF 4E FF 4F FF 50 FF 51 FF
00F0  52 FF 53 FF 54 FF 55 FF 56 FF 57 FF 58 FF 59 FF
0100  5A FF 5B FF 5C FF 5D FF 5E FF 5F FF 60 FF 61 FF
0110  62 FF 63 FF 64 FF 65 FF 66 FF 67 FF 68 FF 69 FF
0120  6A FF 6B FF 6C FF 6D FF 6E FF 6F FF 70 FF 71 FF
0130  72 FF 73 FF 74 FF 75 FF 76 FF 77 FF 00 00 00 00
0140  00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
0150  00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
0160  00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
0170  00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
0180  00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
0190  00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
01A0  00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
传到上位机的具体内容

FPGA程序很简单,就是每来一个clk就将要输出的数+1,在slave fifo 模式下,通过批量同步写数据的方式,将数据写到cy68013中,让后cy68013上传到pc机。程序如下:
module wr_fifo(
//input :
        rst,
        clk,               
//USB interface :
        data_out,                        //-->fifo_db[15:0];
        u_slwr,                                //-->u_slwr#;
        u_slcs,                                //select FIFO of CY68013 --> slcs#;
        
        u_addr0,
        u_addr1,
        
        u_flagb//满标志
                                );
                                
//input :
input        rst,
        clk;                                
input        u_flagb;
        

        
//USB interface :
output                u_slwr,                                //-->u_slwr#;
                u_slcs,                                //select FIFO of CY68013 --> slcs#;
        
                u_addr0,
                u_addr1;

output         [7:0]        data_out;                                //-->fifo_db[7:0];
reg  SLWR_delay,   u_slwr;                                //-->u_slwr#;                        
reg                [7:0]        data_out;                        //-->fifo_db[15:0];
//internal registers :

reg        [1:0]        STATE;

parameter          IDLE=2'd1,
                WRITE=2'd2;

always @(posedge clk or negedge rst)
begin
if(!rst)
        begin
        data_out<=8'h00;
        SLWR_delay<=1'b1;
        
        STATE<=IDLE;
        end
else
        begin
        case(STATE)
        IDLE:
                begin
                if(u_flagb==1'b1)
                 begin   
                 STATE<=WRITE;
                 end
                else
                begin
                STATE<=IDLE;
                SLWR_delay<=1'b1;
                end
                end
        WRITE:
                begin
                if(u_flagb==1'b1)
                        begin
                        SLWR_delay<=1'b0;
                        data_out<=data_out+1'b1;
                        STATE<=WRITE;
                        end
                else
                        begin
                        SLWR_delay<=1'b1;
                        STATE<=IDLE;
                        end
                end
        default:
                STATE<=IDLE;
        endcase
        end
end
               

assign u_addr0 = 1'b0;
assign u_addr1 = 1'b1;
assign u_slcs =1'b0;

always @(posedge clk)
u_slwr <= SLWR_delay;

endmodule

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2013-6-4 14:59:05 | 显示全部楼层
太高深了,只能谦虚学习

出0入0汤圆

发表于 2013-6-4 20:43:37 | 显示全部楼层
贴出你的固件程序

出0入0汤圆

发表于 2013-10-28 16:44:11 | 显示全部楼层
不知楼主的问题解决没有?最近在搞这个,愿听楼主详解经验

出0入0汤圆

发表于 2013-11-6 15:25:59 | 显示全部楼层
问题还是出在FPGA程序上,多半是时序不对引起的。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 05:15

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表