|
发表于 2012-11-16 21:42:41
|
显示全部楼层
module bit8tobit16
(
input clk ,
input rst_n ,
input [7 :0] dat_in ,
output reg [15:0] dat_out,
output vld_out
);
reg div2_reg;
reg [7:0] dat_reg ;
always @(posedge clk or negedge rst_n)
begin
if(rst_n == 1'b0)
div2_reg <= 1'd0;
else
div2_reg <= ~div2_reg;
end
always @(posedge clk or negedge rst_n)
begin
if(rst_n == 1'b0)
dat_reg <= 8'd0;
else
dat_reg <= dat_in;
end
always @(posedge clk or negedge rst_n)
begin
if(rst_n == 1'b0)
dat_out <= 16'd0;
else
dat_out <= {dat_reg,dat_in};
end
assign vld_out = div_2reg;
endmodule |
|