搜索
bottom↓
回复: 2

用ModelSim仿真时遇到如下警告# WARNING: No extended dataflow License...

[复制链接]

出0入0汤圆

发表于 2012-11-5 19:20:32 | 显示全部楼层 |阅读模式
波形如图 testbanch如下

LIBRARY ieee;                                               
USE ieee.std_logic_1164.all;                                

ENTITY DFF1_vhd_tst IS
END DFF1_vhd_tst;
ARCHITECTURE DFF1_arch OF DFF1_vhd_tst IS
-- constants                                                
-- signals                                                   
SIGNAL clk : STD_LOGIC;
SIGNAL clr : STD_LOGIC;
SIGNAL d : STD_LOGIC;
SIGNAL q : STD_LOGIC;
COMPONENT DFF1
        PORT (
        clk : IN STD_LOGIC;
        clr : IN STD_LOGIC;
        d : IN STD_LOGIC;
        q : OUT STD_LOGIC
        );
END COMPONENT;
BEGIN
        i1 : DFF1
        PORT MAP (
-- list connections between master ports and signals
        clk => clk,
        clr => clr,
        d => d,
        q => q
        );
init : PROCESS                                               
-- variable declarations                                    
BEGIN
        clr <= '0';
        wait for 10ns;
        clr <= '1';
        wait for 40ns;
        clr <= '0';
        wait for 40ns;
        -- code that executes only once                     
WAIT;                                                      
END PROCESS init;                                          
always : PROCESS                                             
-- optional sensitivity list                                 
-- (        )                                                
-- variable declarations                                      
BEGIN
    clk<='0';
    wait for 10ns;
    clk<='1';
    wait for 10ns;                                                   
        -- code executes for every event on sensitivity list  
--WAIT;                                                        
END PROCESS always;
d_always : PROCESS                                             
-- optional sensitivity list                                 
-- (        )                                                
-- variable declarations                                      
BEGIN
    d<='0';
    wait for 50ns;
    d<='1';
    wait for 50ns;                                                   
        -- code executes for every event on sensitivity list  
--WAIT;                                                        
END PROCESS d_always;                                         
END DFF1_arch;

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2012-11-6 20:07:27 | 显示全部楼层
顶层文件以原理图方式输入的,原理图如下,用Quartus自带的仿真可以成功,我用的Quartus ii 9.1,仿真用的ModelSim—Altera 6.5b。仿真VHDL写的顶层文件没遇到过这个问题

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2012-11-6 20:33:13 | 显示全部楼层
用晶振给bclk,50M的,用信号源给tclk,50K的,其余控制信号单片机给,用示波器看START输出一直是高电平,单片机可以读到result[7..0],但start接到单片机引脚上就读不到数了,求大神指点指点,菜鸟在此万分感谢
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 07:22

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表