搜索
bottom↓
回复: 2

求助,verilog中多个模块的引用

[复制链接]

出0入0汤圆

发表于 2012-10-24 15:47:42 | 显示全部楼层 |阅读模式
verilog中多个模块的引用
先在顶层模块中引用一个数码管动态显示的模块:
led_disp        led
(        
        .clk(clk),
        .disp_num(dis_num),
        .ledseg(ledseg),
        .ledcs(ledcs)
);
然后在这个led_disp动态显示的模块中再引用一个产生方波的模块,周期是10ms:
// MSEC:half cycle ,value range 0-255
wave_signal #(.MSEC(5)) w1
(
        .clk(clk),
        .reset_n(reset_n),
        .signal_out(timeflag)
);
在led_disp里面,用wave_signal的输出信号signal_out作为动态刷新数码管的驱动信号,编译过程也没有错误,但是实际运行的时候就不行了,数码不亮。

然后我改了一下,直接在顶层定义波形发生的模块,然后把输出信号signal_out引入到数码管动态显示的模块中,如下:
wire timeflag;
wave_signal #(.MSEC(5)) w1
(
        .clk(clk),
        .reset_n(reset_n),
        .signal_out(timeflag)
);
led_disp        led
(        
        .clk(clk),
        .disp_num(dis_num),
        .ledseg(ledseg),
        .ledcs(ledcs),
        .timeflag(timeflag)
);
于是乎,这样就可以了,正常工作。
为什么wave_signal 、led_disp要并行才可以,led_disp中再用wave_signal就不行了?模块不能嵌套吗?
先谢谢各位。


阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

 楼主| 发表于 2012-10-24 15:49:07 | 显示全部楼层
求指导!

出0入0汤圆

 楼主| 发表于 2012-10-24 17:53:11 | 显示全部楼层
问题找到,由于我的粗心,第一种方法中wave_signal模块的reset_n在led模块中没有定义。感谢21IC的Backkom80
http://bbs.21ic.com/icview-387261-1-1.html
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-27 12:32

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表