搜索
bottom↓
回复: 7

频率计,将测试信号关闭后数码管竟然不显示0,怎么回事?

[复制链接]

出0入0汤圆

发表于 2012-10-11 17:21:27 | 显示全部楼层 |阅读模式
RT,效果应该是 信号发生器给多少Hz的信号,数码管应该显示多少,问题是,每次只能测第一次给的信号,信号改变之后数码管没变化,并且信号断掉之后,数码管仍然显示第一测试的信号,怎么回事??

高手?、留步?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2012-10-11 17:22:03 | 显示全部楼层
撕心裂肺的求助!!!1

出0入0汤圆

发表于 2012-10-11 17:38:00 | 显示全部楼层
什么神器频率计啊?

门时间设的太长了?

出0入0汤圆

发表于 2012-10-11 17:57:31 | 显示全部楼层
DIY的?程序最后一行是while(1);?

出0入0汤圆

发表于 2012-10-11 18:00:09 | 显示全部楼层
你应该把代码贴出来,说不定有高手会看看的!

出0入0汤圆

 楼主| 发表于 2012-10-11 19:31:11 | 显示全部楼层
附上代码:

module Counter
(
        CLK, Clear, Sig_in,
        Enable_0, Switch,
       
   Cnt_data1, Cnt_data2
//        Count_over
);

        input CLK, Clear;
        input Sig_in, Enable_0, Switch;

        output [31:0]Cnt_data1, Cnt_data2;
//        output reg Count_over;
               
        /********************************************/

        parameter Freq_100KHz = 17'd100_000,                 //Fs = 100,000
       
                                 Ns0 = 14'd9_999;                                                //Ns0 = 10,000                               
               
        /****************** 被测信号 *****************/

        reg [31:0]count1 =32'd0, count2 = 32'd0;
       
        reg trigger = 1'b0;
       
        reg [13:0]Sig_num = 14'd0;
       
        reg Sig_flag;
       
        always @ ( posedge Sig_in )
                if( Clear == 1'b1 )
                        begin
                                count1 <= 32'd0;
                                Sig_flag <= 1'b0;
//                                Count_over <= 1'b0;
                        end
                else if( Enable_0 )
                        begin
                                if( Switch == 1'b1 && count1 <= Sig_num )
                                        begin
                                                trigger <= 1'b1;
                                                count1 <= count1 + 1'b1;
                                                Sig_flag <= 1'b1;
                                        end
                                else
                                        begin
                                                trigger <= 1'b0;
                                                count1 <= count1;
                                                Sig_flag <= 1'b0;
//                                                Count_over <= 1'b1;        //count_over结束计数标志
                                        end
                        end
               
        /****************** 标准信号 *****************/
               
        always @ ( posedge CLK )
                begin
                        if( Clear == 1'b1 )
                                begin
                                        count2 <= 32'd0;
                                end
                        else
                                begin
                                        if( trigger == 1'b1 )
                                                begin
                                                        count2 <= count2 + 1'b1;
                                                end
                                        else
                                                begin
                                                        count2 <= count2;
                                                end
                                end
                end

        /********************************************/
               
        always @ ( posedge CLK )
                begin
                        if( Clear == 1'b1 )
                                begin
                                        Sig_num <= 14'd0;
                                end
                        else
                                begin
                                        if( ( count2 < Ns0 ) && ( Sig_flag == 1'b1 ) )
                                                begin
                                                        Sig_num <= Sig_num + 1'b1;
                                                end
                                        else
                                                begin
                                                        Sig_num <= Sig_num;
                                                end
                                end
                end
               
        /********************************************/

        assign Cnt_data1 = count1 * Freq_100KHz;
                                                               
        assign Cnt_data2 = count2;
       
        /********************************************/

endmodule

出0入0汤圆

 楼主| 发表于 2012-10-11 19:31:41 | 显示全部楼层
上面这个是计数的模块

出0入0汤圆

 楼主| 发表于 2012-10-11 19:32:17 | 显示全部楼层
module Contral
(
        CLK,
        RSTn,
        Start,                //Trigger signal

//        Count_over,
        Div_over,
        BCD_over,
       
        Clear,                //Control counter cleared
        Switch,                //Control counter gate signal
        Enable_0,        //Enable the counter
        Enable_1,        //Enable  BCD code conversion
        Enable_2                //Enable the Division
);

        input CLK, RSTn;
        input Start, Div_over, BCD_over;        //Count_over,
       
        output Enable_0, Enable_1, Enable_2, Clear, Switch;

        wire Start, Div_over, BCD_over;        //Count_over,
        reg  Enable_0, Enable_1, Enable_2, Clear, Switch;

        /*************************************************************/

        parameter         Wait   = 5'b00001,
                                        Count  = 5'b00010,
                                        Divide = 5'b00100,
                                        BinBCD = 5'b01000,
                                        Led    = 5'b10000;  

        /*************************************************************/                                       

        reg [4:0]Current_state , Next_state;

        always @ ( posedge CLK or negedge RSTn )
                begin
                        if( !RSTn )
                                Current_state <= Count;
                        else
                                Current_state <= Next_state;
                end

        always @ ( Start or Current_state or Div_over or BCD_over or RSTn )
                begin
                        case( Current_state )                       
                                Wait:
                                        begin
                                                //----Start,默认为1,执行Count使Switch=1,闸门信号开,开始计数。
                                                Next_state = ( Start ) ? Count : Wait;
                                        end
                                       
                                Count:
                                        begin
                                                Next_state = ( !Start ) ? Divide : Count;
                                        end

                                Divide:
                                        begin        
                                                Next_state = ( !Div_over ) ? Divide : BinBCD;
                                        end
                                               
                                BinBCD:
                                        begin      
                                                Next_state = ( !BCD_over ) ? BinBCD : Led;
                                        end

                                Led:
                                        begin      
                                                Next_state = ( RSTn ) ? Wait : Led;
                                        end
                        endcase
                end

        always @ ( Current_state )
                begin
                        case( Current_state )
                                Wait:
                                        begin
                                                Enable_2 = 1'b0;
                                                Enable_1 = 1'b0;
                                                Enable_0 = 1'b0;
                                                Switch = 1'b0;
                                                Clear = 1'b1;
                                        end
                                       
                                Count:
                                        begin   
                                                Enable_2 = 1'b0;
                                                Enable_1 = 1'b0;
                                                Enable_0 = 1'b1;
                                                Switch = 1'b1;
                                                Clear = 1'b0;
                                        end

                                Divide:
                                        begin
                                                Enable_2 = 1'b1;
                                                Enable_1 = 1'b0;
                                                Enable_0 = 1'b0;
                                                Switch = 1'b0;
                                                Clear = 1'b0;
                                        end

                                BinBCD:
                                        begin
                                                Enable_2 = 1'b0;
                                                Enable_1 = 1'b1;
                                                Enable_0 = 1'b0;
                                                Switch = 1'b0;
                                                Clear = 1'b0;
                                        end

                                Led:
                                        begin
                                                Enable_2 = 1'b0;
                                                Enable_1 = 1'b0;
                                                Enable_0 = 1'b0;
                                                Switch = 1'b0;
                                                Clear = 1'b0;
                                        end
                        endcase
                end

        /*************************************************************/
               
endmodule
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 09:21

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表