搜索
bottom↓
回复: 3

DDR控制器验证问题

[复制链接]

出0入0汤圆

发表于 2012-10-6 10:10:43 | 显示全部楼层 |阅读模式
有一句程序是这样编写的如:dq_d(15 downto 0)<=dqout( 15 downto 0) when dqoe1='1' else (others=>'Z');用ISE自带的仿真软件进行时序仿真时高阻态可以输出,但是下载到板子的时候就输出不了高阻态,抓取的波形应该显示高阻态的位置显示的都是'0’,请问这是什么原因造成的呢 亟待解决 谢谢各位

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2012-10-6 13:29:02 | 显示全部楼层
求懂的人赐教呀

出0入0汤圆

发表于 2012-10-7 18:49:31 | 显示全部楼层
我原来貌似也遇到过这样的问题……查看相关资料说,应避免在FPGA内部使用高阻态!我大致记得书中话的意思是:在开发流程中,内部三态总线会出现一个严重的问题。由于大多数EDA算法的理论模型是基于布尔代数,软件工具无法处理的高阻抗状态。三态总线会在优化、时序分析、验证和测试上出现问题。高阻态好像只能用在FPGA的输出端口,如果是输出到外设,就好像应该没问题……

出0入0汤圆

 楼主| 发表于 2012-10-8 13:53:19 | 显示全部楼层
玉草夕林 发表于 2012-10-7 18:49
我原来貌似也遇到过这样的问题……查看相关资料说,应避免在FPGA内部使用高阻态!我大致记得书中话的意思是 ...

嗯 对的 在顶层模块可以输出高阻态,底层的话就不能。我的程序编好如果下载到板子调试需要编写测试文件,测试文件就作为顶层了,高阻态就不能输出,真是郁闷 没有其他的解决方法吗
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 09:19

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表